四极管:Load /Store内存访问指令

  学习再学习····    

Load 指令用于从内存中读取数据放入到寄存器中;Store指令用于将寄存器中的数据保存到内存中。ARM有两大类的Load/Store指令:一类用于操作32位的子类型数据以及8位无符号的字节类型数据;另一类用于操作16位半字节类型的数据以及8位的有符号字节类型的数据。 

用于操作32位的字类型数据以及8位无符号的字节类型数据的Load/Store指令有以下指令:

LDR  子数据读取指令

LDRB 字节数据读取指令

LDRBT 用户模式的字节数据读取指令

LDRH 半字数据读取指令

LDRSB有符号的字节数据读取指令

LDRSH 有符号的半字节数据读取指令

LDRT 用户模式的字数据读取指令

STR 字数据写入指令

STRB 字节数据写入指令

STRBT 用户模式字节数据写入指令

STRH 半字数据写入指令

STRT 用户模式字数据写入指令

 

转载请注明出处。作者:四极管。广西师范大学 电子工程学院大学生科技创新基地 邮箱: yangxingbo-0311@163.com

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值