自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(107)
  • 资源 (20)
  • 收藏
  • 关注

原创 routing and forwarding

以下来自维基百科。在现代路由器架构中。路由表一般不直接作为转发依据。而是用路由表来生成一个更小的转发表。Routing tables are generally not used directly for packet forwarding in modern router architectures; instead, they are used to generate the information for a smaller forwarding table. This forwarding tab

2020-06-06 22:11:18 856

原创 30天制作操作系统

虚拟机启动img镜像创建一个虚拟机,添加软盘,软盘选择img镜像即可。下面博客有步骤:https://www.cnblogs.com/bitzhuwei/p/OS-in-30-days-03-use-vmware.html

2020-06-03 21:08:14 196

原创 gitignore写法

# Ignoring directories# Both the directory itself and its contents will be ignored.bin/gen/

2020-02-11 19:47:45 286

原创 WCSP 2015 南京 空间信息网络相关论文

WCSP 2015 南京 空间信息网络相关论文An Event-Driven Graph-based Min-Cost Delivery Algorithm in Earth Observation DTN NetworksPeng Yuan (Harbin Institute of Technology Shenzhen Graduate School, China) Zhihua

2015-10-11 11:11:43 1339 1

原创 CCSDS空间网络互连

CCSDS空间网络互连关于SCPS,CCSDS已经不再建议使用,而是建议采用太阳系因特网SSI相关的协议,主要有延时容忍网络DTN。http://public.ccsds.org/publications/scps.htmlThe SCPS protocols were early approaches to define a Space Internetworking protoco

2015-08-09 20:01:19 1058

原创 verilog FPGA网络资源

黑金微课堂 http://home.cnblogs.com/u/alinx/fpga4fun http://www.fpga4fun.com/

2015-07-14 10:00:03 860

原创 通信网络航天卫星国际会议

顶级的:ICC,每年6月,一般上一年9月截稿,注册费FULL:US$1340 ICC 2016,2016.5.23-27,马来西亚,吉隆坡,截稿2015.10.16Globecom,每年12月,一般4月截稿 2015在加州圣迭戈,每次都在北美开。WCNC,每年3、4月,一般9月截稿 2015年在卡塔尔多哈航天相关WiSEE,美洲、欧洲轮流召开,2

2015-07-12 13:47:10 3955

原创 Modelsim查看中间变量

安装完成之后,先在Modelsim中File——New——Library,默认会建立work库建立好work库后,File——New——Project,新建一个工程,导入写好的verilog文件和verilog test bench文件,并在Workspace空白处右键编译所有文件。当前在Workspace的Project标签下,选择Library标签,可以看到work目录,选择刚才建立的test

2015-07-08 23:37:13 16120 2

原创 英语学习——20150630经济学人

http://www.economist.com/blogs/freeexchange/2015/06/china-s-economy# A little love from central mama1. dispense *1.v administer or bestow, as in small portions 2.v grant a dispensation; grant an exe

2015-06-30 16:30:26 773

原创 空间组网相关文章更新(google scholar推送)

由于google scholar不稳定,而且下文章不方便,所以,将相关更新放在这里。2015.6.22[PDF] Decentralized Space-Data Dissemination for Low-Cost, Dense Satellite NetworksD Vardalis, SA Lenas, S Diamantopoulos… - 2015 - inter

2015-06-22 16:20:26 906

原创 深空通信相关资料——以火星为例

1. 体系架构方面一般都采用中继模式,在链路发射功率方面原因(还有通信窗口持续时间)如下: 火星轨道器功率大,火星车功率小 MRO(维基)的干重为1吨,发射重量2吨,电源整体功率为2000W,高增益天线功率为100W,X band,最高6Mbps。 对于勇气号火星车Spirit,重量为185kg,一个火星日可以产生700Wh能量,当火星遭遇沙尘暴时,太阳能见度变低,产生能量更少。火星车 20

2015-03-10 12:00:13 1239

原创 网络流量分析的相关资料

验证卫星网络的流量是否具有自相似特性[PDF] Research on Aggregation and Propagation of Self-Similar Traffic in Satellite NetworkZ Na, Y Liu, Y Cui, Q Guo - 2015 - sersc.orghttp://www.sersc.org/journals/IJ

2015-02-15 14:03:27 1067

原创 Network related English

outstandingThe send window size, denoted SWS, gives the upper bound on the number ofoutstanding (unacknowledged) frames that the sender can transmit.outstanding中文释义杰出的,未偿付的,未解决的,这里翻译为未应答的

2014-12-28 15:05:39 610

翻译 为IPN做铺垫的航天任务-好奇号,信使号,深度撞击,月球轨道侦查LRO

来源:http://public.ccsds.org/outreach/PressRoom/Curiosity%20PR%20-%20Aug2012.pdf一、好奇号火星车2012.8,Mars Science Laboratory MSL,在火星降落,释放了携带的Curiosity好奇号火星车,并通过两个火星轨道器向地球回传科学数据。两个轨道器为Mars Reconnaissan

2014-11-25 15:17:08 1391

原创 如何利用Dijkstra计算次短路径

http://en.wikipedia.org/wiki/Dijkstra%27s_algorithmRelated problems and algorithms[edit]The functionality of Dijkstra's original algorithm can be extended with a variety of modifications. Fo

2014-10-07 15:39:37 2668

原创 Dtnsim2学习笔记

1、DTN MED平均等待时间计算公式下面这段代码是在dtnsim2中的packageprotocolStack.globalKnowledge;下的contactschedule中,有一个计算MED时间的函数/** Computes the MED metric assuming that time begins at startTime. */ public double get

2014-03-12 22:59:12 2044

原创 关于STK的各种报告Report

总结一下STK的各种Report:1、导出卫星的星下点轨迹的经纬度、高度、还有变化率在STK的Report的LLA Position,Longitude Latitude Altitude2、两个对象的AER,方位角、仰角和距离

2014-03-04 22:22:24 16501 5

原创 关于智能家居、360wifi、wifi开关,以及wifi芯片的选择

智能家居的愿景很早之前就有了,梦想着我们在打完球回家的路上就提前打开热水器,烧好水,到家就可以洗澡了;在回家的路上就可以打开空调,到家就可以乘凉了。。。但智能家居这种愿景要想实现需要太多技术上的进步和发展,当前移动互联网的发展使得互联网得以扩展到移动领域,智能手机的普及使得将来手机将会作为一个非常重要的移动中的人 接入互联网的途径,而智能手机的普及又促进了移动互联网的覆盖,即wifi的覆盖

2014-01-28 13:49:03 8057 2

原创 关于TheOne的仿真机制-由运动模型产生连接数据

整个运行流程:GUI运行runSim,运行world.update,其中运行updateHosts,其中运行更新网络接口连接和路由模块,相当于是由节点的运动来驱动整个连接数据的更新和路由的运行。

2014-01-14 20:39:45 3709 1

原创 Opnet 一个简单的网络实现Dijkstra最短路径算法,路径代价为跳数加排队延时

一个简单的网络实现Dijkstra最短路径算法,路径代价为跳数加排队延时1、关键是排队延时,由于链路使用了包交换的链路,所以propdel = 0,txdel=1s,剩下的关键就是排队延时了。排队延时就是输出链路缓冲区的队列中的等待时间,首先得找到缓冲区的位置所在。在NS中链路上直接关联queue,Opnet中不太一样,链路上似乎没有queue,虽然有个channel,但是在节点内部好

2013-11-30 10:13:11 5956 5

原创 opnet的flood泛洪路由实现

NS2的有一个入门级的无线网络MFLood例子,可以很好的学习NS的一些基本的功能。很可惜,opnet没有,所以在学习opnet的过程中,做了下面这个基于有线网络的flood工程,分享出来,欢迎下载测试,提意见,改进,分享!网络层如下,一个3x4的简单的有线网络需要说明的是无线网络一般需要处理同步的问题,即周边节点收到泛洪包后,需要各自错开发送,实现时通过随机延时实现,因为如果周边

2013-11-20 23:28:25 5517 5

转载 关于写文章的东西

http://blog.tianya.cn/blogger/post_show.asp?BlogID=593732&PostID=10710722自己的体会——猪年大奉送    (一)我老板要求文章必须英文,投稿必须SCI收录杂志,要求甚严。开始时其苦万状,但是后来适应了,逐渐也就习惯了。根据我的经验,给提几个建议:   1。既然写英文文章,就不要再参考中文的文献,效果反而不好

2013-10-22 20:41:38 953

原创 Opnet仿真笔记-工程文件夹结构,动画

几个网址,常见的错误:http://www.eng.auburn.edu/~hamilton/comp7970/papers/opnetfaq.htmlhttp://blog.sina.com.cn/s/blog_7dbabd870100rwue.html

2013-10-21 21:13:10 4920

原创 关于路由的一点理解,还有编址

wiki解释:Routing schemes differ in their delivery semantics(字典解释为语义,不知道有没有其它更容易的理解的解释),语义就包括常见的单播、广播、多播。        路由的任务是将消息从一个节点传送到其它一个或多个目的节点,要想实现这个任务就需要计算路径或者选择路径,这应该路由用的最多的含义。路由的任务是消息的传送,首先需要解决一个节点的标

2013-10-08 22:01:14 1078

原创 NS2中的链表笔记

NS2中的链表采用了bsd-list.h头文件,内容如下:#define LIST_HEAD(name, type) \struct name { \ type *lh_first; /* first element */ \}#define LIST_ENTRY(type) \struct { \ type *le_nex

2013-09-15 10:37:37 1095

原创 POJ3268基本的Dijkstra

Source CodeProblem: 3268 User: yanhcMemory: 8060K Time: 63MSLanguage: C Result: AcceptedSource Code#include #include int M = 99999999;int map[1002][

2013-08-20 22:39:24 1672

原创 NS2.35中自带卫星网络集中式路由学习

NS2.35中在satroute.cc,~.h中实现了集中式的路由@@ 在.h文件中,主要有以下类:1、SatRouteAgentclass SatRouteAgent : public Agent继承关系:SatRouteAgentConnectorNsObjectTclObject, Handler2、SatRouteObjectclass SatRouteObje

2013-06-06 23:42:17 2884

原创 徐雷鸣书中MFlood部分测试代码学习笔记

使用徐雷鸣书第七章的测试tcl代码得到的trace文件,1、RTR路由层收到之后添加了一个20字节的IP头,所以包长度从512变为532第6、7行,节点0又收到自己发出的包,所以,丢弃。Mflood.cc中使用ch->num_forwards() == 0来判断经过了几次转发,但是没有看到这个数递增啊?使用shell批量化处理,设置场景、流量、运行ns、awk处理实验数据发

2013-06-05 23:05:16 2521 1

原创 NS2.35自带的卫星网络仿真学习

看了那么多论文之后,现在应该开始实际仿真了!!!首先,NS2.35自带iridium和teledesic的星座配置,在ns/tcl/ex下,先看一下iridium的下表是iridium星座的参数:相邻轨道面plane的间隔是31.6°,缝隙处是22°,不是均匀的每个轨道面11颗星,所以360/11=32.73°不同轨道面的相位因子360/66=5.454545,16.3

2013-06-05 10:12:43 8979 11

原创 MFlood源码学习

参考资料:http://blog.csdn.net/leo115/article/details/7784349徐雷鸣:NS与网络模拟MFlood类中有recv函数先检测是否是自己生成的,如果是自己发的,且转发的次数为0,那么就是自己生成的。ip header的source addr,index_是自己的ip地址,比较这两个可以判断是否自己发的common header

2013-06-04 21:41:23 1395

原创 NS 2.35 柯志亨书-实验9笔记-队列管理机制

当时记得笔记:目前,实现了RED的实时和平均队列长度的显示,但是显示的图形与wpi.edu中的走势有点区别??? 但是柯志亨用的是myfifo,应该是自己写的队列当改为droptail时,与tr文件关联出现错误???不知道如何解决似乎DropTail队列不支持trace被跟踪,是否支持trace好像是在实现队列的代码中应该有所体现,因为RED里明显就有trace方面的内容,如果想测

2013-05-21 22:47:43 3706 4

原创 NS 2.35 柯志亨书-实验5笔记-置信区间

图同实验4,一条tcp,一条背景tcp,一条on-off干扰流背景tcp流不同的rate,实验n次,求吞吐量,求平均笔记图:Tcl代码:# Kezhiheng,experiment 5,test confidence,1 tcp flow,2 bkgrd traffic# Usage lab5.tcl on-off_rate ex_seq# ex: ns lab5.tc

2013-05-21 22:42:13 2415

原创 NS 2.35 柯志亨书-实验4笔记-随机数产生-参数化批处理

Tcl代码:# Kezhiheng, experiment 4, test RNG, 3 tcp flow# Usage: ns xx.tcl flowno seedif {$argc!=3} { puts "Usage: ns xx.tcl flowno_ seed_ queue_" puts "queue_ DropTail or RED" exit}s

2013-05-21 22:31:46 2285

原创 我服了csdn,一直服务器没响应

抱歉,系统出现未知错误,我们会很快修复。一上午了,技术人员是吃干饭的!!!

2013-05-12 12:35:01 1219 2

原创 s 2.35 柯志亨书-实验3笔记-TCP UDP模拟 ——计算CBR不同参数:抖动、吞吐率、丢包率awk代码

计算CBR抖动的awk代码:# Measure the end to end delay jitter by the trace file# 计算方法:抖动率由相邻数据包延迟时间差除以数据包序号差得到;# jitter = ( (trecvj-tsndj) - (trecvi-tsndi) ) / (j-i), j>iBEGIN{ # program initialize hi

2013-05-12 10:55:39 4153 1

原创 ns 2.35 柯志亨书-实验3笔记-TCP UDP模拟

上图是笔记下面是tcl代码:#Create a simulator objectset ns [new Simulator]#Set different color for dif flow$ns color 1 Blue$ns color 2 Redset tracefd [open example1.tr w]$ns trace-all $tracefdset n

2013-05-11 09:56:15 2470

原创 Ubuntu12.04初学遇到问题

——————2013.5.8刚开始安装好的时候可以上网的,后来为了共享文件,搞的不能上网了,折腾了几个星期,网络连接一直在试图连接,就是连接不上,后来设置静态地址,ubuntu与xp下的VMnet8可以ping通,但是ping网关一直ping不通,折腾中,后来偶尔觉得网关不通是不是vmware的问题,最后,把vmware的virtual network editor下,restore

2013-05-08 22:44:10 760

原创 vmware Ubuntu12.04 install ns2.35

refer to this page: http://blog.csdn.net/sxsj333/article/details/8680559not have Chinese input method yet../validate is not needed.export NS_HOME=/Home/ns-allinone-2.35      this sentence should

2013-04-13 18:12:09 845

原创 Linux Ubuntu常用命令备忘

——查看IP地址:ifconfig,类似XP的ipconfig——sudo是linux系统管理指令,是允许系统管理员让普通用户执行一些或者全部的root命令的一个工具,如halt,reboot,su等等。这样不仅减少了root用户的登陆 和管理时间,同样也提高了安全性。——设置Ubuntu与xp之间共享文件:http://www.linuxidc.com/Linux/201

2013-04-12 21:04:48 672

原创 CC430F5137 IAR调试备忘

2013.3.15全局变量竟然无法赋值,地址在0x1cxx处,在0x1dxx处就可以,有点邪门,从0x1cxx处就都是RAM了,一直是3FFF。只能不用全局变量了,在网上看到一篇博文,说全局变量会让各个模块之间耦合变强,不利于模块化,网上的GPIO模拟ISO7816的程序,用了几个全局数组,把它改成局部的吧!!!另外,上次新建了一个工程结构全局变量可以赋值,是因为没有emulate

2013-03-15 20:18:55 1596

超级终端_Windows XP,win7,win10可用

window XP原版的超级终端,win7,win10可用。

2018-11-03

Endnote Springer会议论文集格式

Endnote Springer会议论文集格式,Endnote Springer会议论文集格式

2018-07-02

OPNET路由DIjkstra实现跳数与队列延时代价同时考虑

OPNET路由DIjkstra实现跳数与队列延时代价同时考虑 http://blog.csdn.net/yanhc519/article/details/17038415

2013-12-26

opnet的flood泛洪路由实现

http://blog.csdn.net/yanhc519/article/details/16852481 NS2的有一个入门级的无线网络MFLood例子,可以很好的学习NS的一些基本的功能。很可惜,opnet没有,所以在学习opnet的过程中,做了下面这个基于有线网络的flood工程,分享出来,欢迎下载测试,提意见,改进,分享!

2013-11-20

opnet有线网络flood泛洪例子

NS2的有一个入门级的无线网络MFLood例子,可以很好的学习NS的一些基本的功能。很可惜,opnet没有,所以在学习opnet的过程中,做了下面这个基于有线网络的flood工程,分享出来,欢迎下载测试,提意见,改进,分享!

2013-11-20

VC picture控件显示透明图片,并移动图片,透明可以了,但是有重影?

VC picture控件显示透明图片,并移动图片,透明可以了,但是有重影,为什么啊? 使用了这个函数http://www.newsmth.net/bbsanc.php?path=/groups/comp.faq/VisualC/VC_NEW_TXTFONTIMAGEDC/VC_NEW_DTFI_DRAWIMG/M.969736108.A 将图片刷到picture控件的DC上,可以透明了,但是,在移动的时候有重影 onpaint下代码 [code=C/C++] CDC *pDC = NULL; HDC hDC = NULL; HBITMAP m_hBitmap; m_hBitmap = LoadBitmap(AfxGetInstanceHandle(), MAKEINTRESOURCE(IDB_BITMAP1)); pDC = GetDC(); hDC = pDC->m_hDC; pDC->Rectangle(10, 10, 100, 100); pDC->SetBkMode(TRANSPARENT); DrawTransparentBitmap(hDC, m_hBitmap, 0, 0, RGB(255,255,255)); pDC = GetDlgItem(IDC_PIC)->GetDC(); hDC = pDC->m_hDC; DrawTransparentBitmap(hDC, m_hBitmap, 0, 0, RGB(255,255,255)); ReleaseDC(pDC); pDC = NULL;[/code] 工程代码在这里:

2012-09-04

摄像头图像上如何显示文字的程序

最近在做一个USB摄像头的程序,现在摄像头视频已经可以显示了。 采用对话框,然后在对话框上生成一个子窗口,在这个子窗口里显示视频。 我想着摄像头视频上叠加文字,请问如何叠加文字? 我在对话框里可以用CDC::TextOut显示文字,一开始想把子窗口放到Z轴的最下面,这样对话框上的文字就可以显示了,生成、设置子窗口位置的代码如下,利用设置位置的HWND_BOTTOM: [code=C/C++]m_hDisplay = CreateWindow(lpszClassName, TEXT("Capture Window"), WS_CHILD | WS_VISIBLE, 0, 0, m_nWidth, m_nHeight, this->GetSafeHwnd(), NULL, NULL, this); if (m_hDisplay != NULL) { ::SetWindowPos(m_hDisplay, HWND_BOTTOM, 0, 0, 0, 0, SWP_NOSIZE | SWP_NOMOVE); }[/code] 这样似乎不行,子窗口一直在对话框的上面,对话框显示的内容看不到。 可不可以在视频子窗口上用CDC::TextOut显示文字呢?那么怎么得到子窗口的CDC呢?会不会影响视频显示呢? 或者还有别的什么办法吗? 谢谢了!

2012-08-29

关于VC对话框静态文本设置背景透明的问题

关于VC对话框静态文本设置背景透明的问题 静态文本设置字体可以 但是设置背景透明显示不可以 我在对话框前面又加了一个窗体,将其设置到Z轴最下面 这样,静态文本是在窗体上面,并如下设置 HBRUSH CTransstaDlg::OnCtlColor(CDC* pDC, CWnd* pWnd, UINT nCtlColor) { HBRUSH hbr = CDialog::OnCtlColor(pDC, pWnd, nCtlColor); // TODO: Change any attributes of the DC here if(nCtlColor == CTLCOLOR_STATIC) { pDC->SetTextColor(RGB(255, 0, 0)); pDC->SetBkMode(TRANSPARENT); } // TODO: Return a different brush if the default is not desired return hbr; } 但是静态文本背景并不是透明的

2012-08-24

火星逆行的几何画板演示

古时候人们认为地球是宇宙的中心, 天空中的星星都是围绕着地球转的。 这样天空中的星星应该始终沿着直线 运行。 但是,后来人们观测到了一些火星的 逆行,火星有时会往回倒。 如果仍然认为地球是宇宙的中心的话, 这种现象是没法解释的。 所以只能否定地球不是宇宙的中心, 而认为地球绕着太阳转就能解释 这种逆行现象。

2012-01-02

EVML137 C6747 原理图sch PCB

EVML137 C6747 原理图sch PCB TI官方版本 EVML137 C6747 原理图sch PCB TI官方版本

2010-07-16

verilog uart ip, www.fpga4fun.com

verilog uart ip, 代码参考:www.fpga4fun.com 内有说明

2010-05-14

verilog SPI slave IP, www.fpga4fun.com

www.fpga4fun.com代码 spi slave,可以实现从接收,已经用DSP和FPGA通信测试

2010-05-14

C programming-读取DAT数据,转成wav格式文件

DAT数据格式 5A 5A 5A 5A xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx xx ( 帧头 ) 帧头后面依次为第一块AD通道1数据(2 byte),第二块AD通道1数据(2 byte),第一块AD通道2数据(2 byte),第二块AD通道2数据(2 byte),.。。。。。。。。第一块AD通道6数据(2 byte),第二块AD通道6数据(2 byte)。我提取的是第一块AD通道6数据。然后进行转成16bit,然后-32768变成正负信号,因为AD采集的是0-5V信号,减去32768将其变成-2.5V~+2.5V。然后根据wav文件结构将其写入wav文件。 本文来自CSDN博客,转载请标明出处:http://blog.csdn.net/yanhc519/archive/2009/12/05/4946228.aspx

2010-04-05

VFW 读取摄像头,显示,然后H263编码压缩,存成文件

VFW 读取摄像头,显示,然后H263编码压缩,存成文件 参考http://www.codeproject.com/KB/IP/videonet.aspx

2010-03-27

使用VFW读取摄像头,然后H263压缩,存成文件

使用VFW读取摄像头,然后H263压缩,存成文件,参考http://www.codeproject.com/KB/IP/videonet.aspx

2010-03-27

使用VC6.0的VFW获取摄像头图像预览,截图,录像

使用VC6.0的VFW获取摄像头图像预览,截图,录像,其中录像时,程序不能被打断,会死掉,还有别的解决办法,没有尝试。 其中预览功能使用的是VFW自己的 BOOL capPreview(hwnd,f),这个使用Graphics Device Interface (GDI) ,据VideoNet的作者说,这个没有使用device-independent bitmaps (DIBs)的DrawDib 速度快。 这个在调试的时候会出现一个http://topic.csdn.net/u/20100324/15/024bb17b-8d91-4dc9-8651-d75a0ea6690a.html所说的错误,正在检查中。。。

2010-03-24

VHDL 数码管显示

VHDL在数码管上显示字符VHDL在数码管上显示字符VHDL在数码管上显示字符VHDL在数码管上显示字符

2008-04-29

VHDL键盘读入VHDL 读取键盘值

VHDL 读取键盘值VHDL 读取键盘值VHDL 读取键盘值VHDL 读取键盘值VHDL 读取键盘值

2008-04-29

atmega48的I2C程序

avr读写at24c02的程序通过i2c(TWI)接口

2008-04-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除