【数电实验1】Verilog—Quartus Ⅱ入门

【2022.04西南交大数电实验】

通过按键控制数码管显示数字

module yck_1716_1_1(codeout, indec);
	input[3: 0]  indec;			//说明数组宽度为4位的输入信号

	output[6: 0]  codeout;		//说明数组宽度为7位的输出信号
	reg[6: 0]  codeout;			//说明输出变量数据类型
	always @ (indec)			//用always块语句描述逻辑
	begin
		case (indec)
		4'd0: codeout= 7'b1111110; 	//完成代码转 换描述(列表)
		4'd1: codeout= 7'b0110000;
		4'd2: codeout= 7'b1101101;
		4'd3: codeout= 7'b1111001;
		4'd4: codeout= 7'b0110011;
		4'd5: codeout= 7'b1011011;
		4'd6: codeout= 7'b1011111;
		4'd7: codeout= 7'b1110000;
		4'd8: codeout= 7'b1111111;
		4'd9: codeout= 7'b1111011;
		default:   codeout= 7'bx; 	//说明其他输入情况的输出
		endcase
	end
endmodule

  • 8
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值