仿真文件的编写

仿真文件的最简编写

`timescale 1ns/1ns // 时间尺度预编译

module test_tb(); // testbench 模块不需要向外连接

 

reg clk; // 输入测试模块的信号都得是reg型的,需要主动发生变化

reg rst;

wire [3:0] data;

 

test t1 // 实例化模块,位置无关

      (

      .clk(clk),

      .nrst(rst),

      .data(data)

      );

      

initial // 初始化信号发生

begin

  clk = 0;

  rst = 0;

  #10000 // 延时

  rst = 1;

  #10000;

  $stop;

end

 

always #20 clk = ~clk; // 模拟时钟变化

      

endmodul

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值