FPGA使用Delta-sigma(ΔΣ)ADC实现PDM音频输出

简书 FPGA使用Delta-sigma ADC实现PDM音频输出【DSP】 - 简书

文章代码托管在Delta-sigma-ADC-verilog。quartus目录内包含SDcard .wav播放示例。

FPGA实现音频输出的方式有:

  1. 使用I2S DAC芯片。
  2. 直接通过引脚输出PWM(脉冲宽度调制)信号。
  3. 直接通过引脚输出PDM(脉冲密度调制)信号。
  1. I2S DAC:成本敏感,但声音品质较好。
  2. 直接PWM:脉宽精度与计数器位数相关,而且需要很高的计数器时钟,一般不用计数器直接产生PWM,而使用比特取反计数器的方式产生伪PDM参考改进型PWM。PWM是PDM频率恒定时的特例,这种频率固定的方式实现简单,但效果不理想。

本文使用第三种方式PDM输出音频。这里使用Delta-sigma(ΔΣ)ADC产生PDM信号。ΔΣ ADC将模拟信号转成 PDM数字信号,PDM转成模拟信号只需外接低通滤波器。借用以上模拟的方法,使用FPGA进行全数字信号处理:

读取PCM数字音频 -> ΔΣ 调制 -> 输出PDM -> 外部RC低通滤波

一阶ΔΣ调制器的方块图,数字滤波器改用外部RC滤波

一阶ΔΣ调制器的方块图,数字滤波器改用外部RC滤波

ΔΣ 调制过程如上图所示,为方便解释,这里以有符号16bit PCM音频举例,16bit左边输入与1 bit DAC结果相减。1 bit DAC是指输入 1 ,输出 32767(16位有符号数最大值);输入 0 ,输出 -32768。相减结果的16bit符号数再进行一次积分,然后与 0 比大小,大于0输出1,小于等于0输出0。结果进入1 bit DAC反馈,以及输出为 1bit PDM信号。进行一次处理只输出了1bit PDM,需要将PCM数据保持住,同样的过程处理N次得到N位PDM,这N位PDM信号代表了原PCM数据的量化值。详细原理参见该文章。这里使用二阶ΔΣ:

二阶ΔΣ调制器的方块图

如果将图中左边减法器换成模拟比较器,并且去掉1-Bit DAC,直接将PDM输出到FPGA管脚然后经过低通滤波接到比较器负端,Digital Filter换成累加器,就变成了真正的1-Bit ADC。可以量化模拟比较器正端电平。参考见下图:



 

说回二阶数字方法,简单FPGA实现框图如下:

时钟未画出

时钟未画出

verilog:

/************************************************************************************
*   Name         :Delta sigma ADC
*   Description  :2阶Delta sigma ADC,Generate PDM audio,din的采样率 应该比clk慢N倍,
*                 N量化位数,N=32,64,128,256...,32bit以上时人耳听不出区别
*   Interface    :N/A
*   Origin       :190811
*   Author       :helrori2011@gmail.com
*   Reference    :https://www.cnblogs.com/sci-dev/p/10428042.html
************************************************************************************/
module delta_sigma_adc
#(
    parameter W = 16//输入位宽
)
(
    input   wire                clk     ,
    input   wire                rst_n   ,

    input   wire signed [W-1:0] din     ,//signed analog signal
    output  reg                 dout     //PDM signal
);
wire signed [W-1:0]adc1b_max = {1'b0,{(W-1){1'b1}}};
wire signed [W-1:0]adc1b_min = {1'b1,{(W-1){1'b0}}};
wire signed [W-1:0]adc1b_out = (dout == 1'b1)?adc1b_max:
                               (dout == 1'b0)?adc1b_min:
                               'bx;                    
reg  signed   [W*2-1:0]inte0,inte1;
wire signed   [W*2-1:0]diff0  =   din     -   adc1b_out;
wire signed   [W*2-1:0]rd0    =   diff0   +   inte0;
wire signed   [W*2-1:0]diff1  =   rd0     -   adc1b_out;
wire signed   [W*2-1:0]rd1    =   diff1   +   inte1;
wire          comp            =   (rd1 > 0)?1'b1:1'b0;
always@(posedge clk or negedge rst_n)begin
    if ( !rst_n ) begin
        dout    <= 1'b0;
        inte0   <=  'b0;
        inte1   <=  'b0;
    end else begin
        dout    <= comp;
        inte0   <= rd0;
        inte1   <= rd1;
    end
end
endmodule

仿真:

dout=PDM

dout=PDM

对该模块进行NATIVE FIFO接口的包装:

/************************************************************************************
*   Name         :PDM audio
*   Description  :当音频采样率为48Khz,并选择量化位数为32时,clk频率=48Khz x 32 = 1.536Mhz
*                 rdclk频率=48Khz,rddat数据速率与rdclk一样。rdclk可以由clk分频得到。
*   Interface    :Native FIFO
*   Origin       :190812
*   Author       :helrori2011@gmail.com
*   Reference    :
************************************************************************************/
module pdm_audio
(
    input   wire                clk     ,// FREQ
    input   wire                rst_n   ,
    // connect to FIFO
    input   wire                rdaccess,// The FIFO data is ready,FIFO not empty
    input   wire                rdclk   ,// FREQ/32=48Khz
    output  reg                 rden    ,
    input   wire        [31:0]  rddat   ,// {L[31:16],R[15:0]},signed
    // microphone
    output  wire                pdm_r   ,    
    output  wire                pdm_l       
);
reg  [1:0]bf0;
wire rdaccess_b = bf0[1];
always@(posedge rdclk or negedge rst_n)begin if(!rst_n)bf0<='b0;else bf0<={bf0,rdaccess};end
always@(posedge rdclk or negedge rst_n)begin
    if ( !rst_n ) begin
        rden<=1'd0;
    end else begin
        if(rdaccess_b)
            rden<=1'd1;
    end
end
delta_sigma_adc #(.W ( 16 ))
delta_sigma_adc_r (
    .clk                     ( clk            ),
    .rst_n                   ( rst_n          ),
    .din                     ( rddat   [15:0] ),
    .dout                    ( pdm_r          )
);
delta_sigma_adc #(.W ( 16 ))
delta_sigma_adc_l (
    .clk                     ( clk            ),
    .rst_n                   ( rst_n          ),
    .din                     ( rddat  [31:16] ),
    .dout                    ( pdm_l          )
);

顶层使用pdm_audio.v,如需使用该模块,还需要外接32bit宽FIFO,暂存两个声道PCM数据。rdaccess用来告诉pdm_audio.v模块外部FIFO数据准备了一些,可以开始读FIFO。注意clk与rdclk频率相差N倍,N=32,64,128,256...
附 delta_sigma_adc.v的testbench:

`timescale  1ns / 1ps
module tb_delta_sigma_adc;
// delta_sigma_adc Parameters
parameter PERIOD = 10;
parameter W  = 16;
parameter N  = 1024;//量化位数
// delta_sigma_adc Inputs
reg   clk                                  = 0 ;
reg   rst_n                                = 0 ;
reg   signed [W-1:0]  din                  = -32768 ;
// delta_sigma_adc Outputs
wire  dout                                 ;
initial
begin
    forever #(PERIOD/2)  clk=~clk;
end
reg [31:0]cnt=0;
always@(posedge clk)begin
    if(cnt == N-1)
        cnt <= 'd0;
    else
        cnt <= cnt + 1;
    if(cnt == N-1)
        din <= din + 1000;
end
delta_sigma_adc #(
    .W ( W ))
 u_delta_sigma_adc (
    .clk                     ( clk            ),
    .rst_n                   ( rst_n          ),
    .din                     ( din    [W-1:0] ),

    .dout                    ( dout           )
);
initial
begin
    $dumpfile("wave.vcd");
    $dumpvars(0,tb_delta_sigma_adc);
    #(PERIOD*2) rst_n  =  1;
    #(PERIOD*N*80)//65536
    $finish;
end
endmodule

参考备忘用途
引用:https://www.cnblogs.com/sci-dev/p/10428042.html

  • 14
    点赞
  • 93
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
### 回答1: Delta-Sigma ADC(&Delta;&Sigma; ADC)是一种常用的模数转换器,常用于将模拟信号转换为数字信号。它的主要原理是通过使用一个内部的Delta(&Delta;)调制器和Sigma(&Sigma;)调制器来实现高分辨率的模数转换。 Delta调制器将输入的模拟信号与一个内部的参考电压进行比较,并产生一个“+1”或“-1”的数字输出,表示模拟信号与参考电压的大小关系。这个数字输出经过一个积分器,不断累加,形成一个高频的脉冲序列。然后,这个高频脉冲序列经过Sigma调制器,通过对脉冲宽度进行调制,生成一个高速带通信号。 接下来,生成的带通信号经过一个低通滤波器,滤除高频部分,得到一个模拟输出信号。这个模拟输出信号经过一个A/D转换器,转换为数字信号。 Delta-Sigma ADC的主要优点是能够实现高分辨率的模数转换,同时具备较好的抗干扰性能。由于Delta-Sigma ADC输出信号不是直接采样的模拟信号,而是通过数字滤波器还原的模拟信号,因此可以通过调整滤波器的参数来实现不同的带宽和动态范围。此外,Delta-Sigma ADC还具备较高的线性度和较低的非线性失真。 然而,Delta-Sigma ADC也存在一些缺点。例如,由于其基于过采样的设计,使得其采样速率较低,对信号的动态范围有一定的限制。此外,Delta-Sigma ADC的设计和调试比较复杂,需要考虑滤波器的设计和数字信号处理算法的实现。 总而言之,Delta-Sigma ADC是一种常用的模数转换器,适用于要求高分辨率和高性能的应用。它的原理是通过Delta调制器和Sigma调制器实现模拟信号到数字信号的转换,并通过数字滤波器还原模拟信号。尽管存在一些缺点,但Delta-Sigma ADC仍然是一种强大的模数转换器。 ### 回答2: Delta-Sigma ADCDelta-Sigma模数转换器)是一种常用的模拟到数字信号转换器。它通过采用Delta-Sigma调制技术来实现高精度和高分辨率的转换。 Delta-Sigma ADC的工作原理可以简单描述为以下步骤:首先,输入模拟信号通过一个差分运算放大器。随后,通过一个带有固定时钟频率的模数转换器来将信号转换成数字形式。在这一过程中,模数转换器使用取样与保持电路实时采样信号,并将其转换为数字形式。然后,通过一个数字滤波器对得到的数字结果进行滤波和重建。 Delta-Sigma ADC的核心原理是通过对输入信号进行高速的过采样,也就是以高于信号的频率进行采样。这种过采样带来了噪声和非线性失真,但是可以通过数字滤波器进行降噪和重建,从而得到高精度和高分辨率的输出结果。 Delta-Sigma ADC具有许多优点。首先,它可以实现很高的分辨率,可达到16位甚至更高的精度。其次,由于过采样和数字滤波的使用Delta-Sigma ADC对输入信号中的噪声具有很好的抑制能力,从而使得输出结果在低频段具有较高的精度。此外,由于采用了集成电路技术,Delta-Sigma ADC不需要使用大量的被动元件,从而可以在很小的空间内实现高功能集成。 总的来说,Delta-Sigma ADC 是一种高精度和高分辨率的模拟到数字信号转换器,通过Delta-Sigma调制技术和过采样来实现。它在许多应用领域中得到广泛应用,如音频处理、传感器数据采集等。
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值