verilog中的移位处理

向右移位处理也需要区分操作数是否带符号,正数直接用“>>”,对负数就需要用“>>>”了。如果处理不对,自然结果就不对。

正数的右移处理向通常做的那样:

wire  [7:0]   a  ;

wire  [7:0]   a0;

assign a = a0 >> 2;

负数的右移处理需要按如下处理:

wire signed [7:0]   a  ;

wire signed [7:0]   a0;

assign a = a数字IC0 >>> 2;

想一想负数右移还有哪些处理方式,如果不用“>>>”会造成什么现象呢?这样涉及带符号数的运行算中常常用到。

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值