VERILOG中使用状态机

verilog是一种硬件描述语言,作为一种语言有一个共通性:那就是代码逻辑一定要严密。作为硬件描述语言需要考虑实现电路的结构是否最优、时序是否满足要求。

状态机的开发是必备技能,状态机通俗的讲就是将控制电路归纳为几种有限的状态,要求各个状态的入口条件、状态转换条件、各个状态的输入输出梳理的很清楚。如果比较复杂建议使用表格整理。

状态机使用好了会带来便利,可是一旦状态跳飞或条件没有考虑全,在FPGA中还好,对芯片ECO就不是那么好实现的了。所以有的开发团队会要求太复杂的状态机要避免,实在必须肯定是从人员配备、流程控制、方案及代码审查上非常严格的。如何避免使用太复杂的状态机,通常就是在方案阶段进行充分论证和分析,尽量采用实现代价小且潜在风险小的方案。

对很简单的状态转换,比如1、2个状态的,用其它方式:比如if...else if ....else或者case也可以描述清楚。对有4、5种状态转换的,可以考虑用状态机了,可以很好体现状态机的优势。对复杂的状态转换可以考虑分阶段实现,类似mux电路那样分成2级或多级,既提升时序性能也能简化实现。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值