Vim按文件类型改变缩进

7 篇文章 2 订阅
7 篇文章 4 订阅

最近在写RTL代码的时候,发现同事用emacs编写的代码,用verilog mode自动缩进的话,我用gvim打开后,代码缩进会乱,为了保持和emacs保持一致,需要设置vim的缩进。

在我的.vimrc中,默认情况下设置为:

set tabstop=4 
set softtabstop=4 
set shiftwidth=4 
set noexpandtab 

而emacs中,同事设置tab缩进均为3,
针对verilog和systemverilog语言,需要设置为:
设置tab等同于3个空格
autocmd FIletype verilog setlocal tabstop=3 softtabstop=3 shiftwidth=3 expandtab
autocmd FIletype systemverilog setlocal tabstop=3 softtabstop=3 shiftwidth=3 expandtab

而makefile中tab采用默认配置,无需将tab转换为空格
autocmd FIletype make setlocal noexpandtab

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值