用VS code编译Verilog时出现“‘v‘ is not recognized as an internal or external command,operable...的错误 ”

解决方法:用插件Verilog-HDL的那个绿色按钮才能正确运行和编译,不要用VS codede的 "Run code"。

刚开始学习Verilog,尝试按照大佬门的教程在VS code中配置Verilog的运行环境,可一开始编译就出现“v run "d:\Verilog_HDL_Files\.vscode\test.v"

'v' is not recognized as an internal or external command,operable program or batch file.”的错误,一度非常崩溃,按照网上此类型的错误分别重新安装了VS code,iverilog,python等,并对其环境变量进行了重新配置,结果还是不行?最后在ZH看到 锦恢 的文章,讲了Verilog各插件的作用,还有位置!!!

就是他,“Verilog-HDL:提供一个绿色的小按钮,使得你可以一键编译执行一个verilog源文件(其实就是自动帮你在命令行中输入iverilog -o <目标文件> <verilog源文件> ; vvp <源文件>)”。

 用这个插件才能识别“.v”文件,同时用Verilog-HDL的这个绿色按钮才能正确编译,用VS codede "Run code"按钮就会报错。

 

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值