Signal tap II用法

概述:
利用FPGA片上资源实现逻辑分析仪的功能。signaltap和你自己的逻辑设计一起被quartus编译,生成的sof文件中会包含signaltap。使用时在quartus中打开当前工程,打开stp文件(signaltap的定义文件),把sof下载到器件中就可以开始抓取波形了。

用法:
1. 在工程中加入signaltap: tools->signaltapII logic analyzer,设置采样时钟、欲抓取的波形、触发条件。所有这些信号必须源自于自己的逻辑设计中已有的信号,可以是rtl中的信号、引脚上的信号、综合后的门级信号。

2. 可以为每个被抓取的信号单独设置触发方式。最简单的方法是:只对一个被采样信号设置触发方式,其余信号的触发方式都设成‘don't care‘

3. 编译工程,得到包含signaltap的sof文件。打开stp文件,连接好FPGA板,配置JTAG chain让软件找到FPGA器件,把sof下载到器件中就可以开始抓取波形了。

4. 改变触发方式是不需要重新编译工程的,其他操作就需要重新编译工程

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值