![](https://img-blog.csdnimg.cn/20201014180756754.png?x-oss-process=image/resize,m_fixed,h_64,w_64)
干货
FPGA-GouDan
FPGA小学生一枚。
展开
-
Verilog中常见的不可综合语句
常见不可综合的语句敏感列表中同时带有posedge和negedge的不可被综合always @(posedge clk or negedge rst_n)类似always块不可被综合initial语句initial只能使用一次,用于testbench中,不能被综合events语句用于testbench中,不能被综合assign 和 deassign语句Verilog不支持对reg 数据类型的assign或deassign进行综合,支持对wire数据类型的assign或deassign进行原创 2021-08-17 10:14:57 · 3235 阅读 · 3 评论 -
FPGA序列检测模块编码、测试及ModelSim波形状态显示技巧
FPGA序列检测编码、测试及ModelSim状态显示检测序列1010110,出现此序列时输出一个时钟周期的脉冲,且当出现…101011010110…时输出两次脉冲。状态转移图代码module flow_check( input wire clk, input wire rst_n, input wire idata, output reg flag); localparam S0 = 7'b000_0000; localparam S1 = 7'b000_原创 2021-08-09 15:49:03 · 728 阅读 · 0 评论 -
格雷码(Gray Code)编码、实现及规律(附编码)
格雷码(Gray Code)是由贝尔实验室的弗兰克·格雷(Frank Gray,1887-1969)在20世纪40年代提出,并在1953年取得美国专利“Pulse Code Communication”。最初目的是在使用PCM(Pusle Code Modulation)方法传输数字信号的过程中降低错误可能。定位控制是自动控制的一个重要内容。如何精确地进行位置控制在许多领域里面有着广泛的引用,例如机器人运动,数控机床的加工,医疗机械和伺服传动控制系统等。编码器是一种把角位移或者是直线位移转换成电信号(脉原创 2021-04-19 21:02:15 · 9137 阅读 · 0 评论 -
【FPGA干货】想去一线大厂做FPGA芯片开发?这些是你该学的知识(入门)
想去一线大厂做FPGA芯片开发?这些是你该学的知识(入门)https://www.bilibili.com/video/BV1aK4y1E7nc原创 2021-01-05 11:47:00 · 740 阅读 · 0 评论 -
【FPGA干货】想去一线大厂做FPGA芯片开发?这些是你该学的知识(进阶)
想去一线大厂做FPGA芯片开发?这些是你该学的知识https://www.bilibili.com/video/BV11y4y1i7Lv原创 2021-01-05 11:44:38 · 481 阅读 · 0 评论