Verilog中常见的不可综合语句

常见不可综合的语句

敏感列表中同时带有posedge和negedge的不可被综合
always @(posedge clk or negedge rst_n)

类似always块不可被综合

initial语句

initial只能使用一次,用于testbench中,不能被综合

events语句

用于testbench中,不能被综合

assign 和 deassign语句

Verilog不支持对reg 数据类型的assign或deassign进行综合,支持对wire数据类型的assign或deassign进行综合。

同一个reg变量被多个always块驱动
延时

#开头的延时不可综合成硬件电路

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值