IC Design
文章平均质量分 61
zhuzhiqi11
天行健君子当自强不息
展开
-
design complier 基本语句
Design:具有某种或多种逻辑功能的电路描述;Cell:设计的instance;Reference:cell或instance在库中定义的名字;Port:design的输入、输出;Pin:design中cell的输入、输出;Net:ports和pins之间或pins之间的信号名;Clock:被定义为时钟源的pin或port;Library:cell的集合,如:targ原创 2014-11-11 22:35:46 · 1678 阅读 · 0 评论 -
Ping Pong 操作
1 什么是pingpong? pingpong是一种数据缓存的手段,通过pingpong操作可以提高数据传输的效率。2 什么时候需要pingpong?在两个模块间交换数据时,上一级处理的结果不能马上被下一级所处理完成,这样上一级必须等待下一级处理完成才可以送新的数据,这样就会对性能产生很大的损失。引入pingpong后我们可以不去等待下一级处理结束,而是将结果保存在pong路的原创 2015-05-31 16:55:47 · 5722 阅读 · 0 评论 -
DC setup 注意事项
1 DC是性能较好的综合工具,对.synopsys_dc.setup进行设置时需要注意a. search_path 要包库文件的路径以及verilog代码的路径,否则无法找到源文件。b,以下选项可以设置,也可以不设置,不设置会使用默认的的库文件,但是设置link_library时要加入{*} set target_library [list ex25_worst.db]set li原创 2015-04-15 17:17:32 · 1319 阅读 · 0 评论 -
verilog pli 使用注意事项
1, c文件名尽量与函数名一致。2, 使用64(32)位机器产生动态链接库及运行ncverilog3, include veriuser.h vxl_veriuser.h这两个头文件在/tools/include/4, 编写一个返回值位p_tfcell的函数,函数内部包含了一个s_tfcell的数组,该数组链接用户程序与verilog函数名。例如; my_hello.c#inc原创 2015-03-26 20:51:42 · 1574 阅读 · 0 评论 -
ncverilog 使用 systemverilog DPI调用C、C++
DPI: Direct program interface通过DPI我们可以直接在测试阶段调用c函数完成一些任务(产生激励,时序分析,等。。。)用ncverilog进行仿真时需要注意以下几点:1 ncvlog -sv 选项打开2 ncsim -sv_lib "libname" lib name 是包含c函数的动态链接库,可以是完整的路径,也可以是lib的名字,原创 2015-03-26 19:51:07 · 4200 阅读 · 0 评论 -
异步FIFO为什么用格雷码
异步FIFO通过比较读写地址进行满空判断,但是读写地址属于不同的时钟域,所以在比较之前需要先将读写地址进行同步处理,将写地址同步到读时钟域再和读地址比较进行FIFO空状态判断(同步后的写地址一定是小于或者等于当前的写地址,所以此时判断FIFO为空不一定是真空,这样更保守),将读地址同步到写时钟域再和写地址比较进行FIFO满状态判断(同步后的读地址一定是小于或者等于当前的读地址,所以此时判断FIFO转载 2015-03-05 14:55:46 · 1000 阅读 · 0 评论 -
system verilog example
systemverilog 小代码枚举:module test_typedef(); typedef enum {red,green,blue,yellow,white,black} colors; colors my_colors; initial begin $display("my_color's defau转载 2015-02-11 09:47:42 · 4027 阅读 · 0 评论 -
verilog 实现无符号整数除法运算
本篇文章主要介绍一下无符号除法的硬件实现思想:主要方法有移位减法和基于coordic算法的实现(coordic实现了浮点除法):1 基于减法的除法实现:首先比较被除数和除数的大小关系,如果被除数大于除数,那么就用被除数减去除数,然后商自加1,直至被除数小于除数,此时被除数中存储的结果即为余数。该方法由软件实现较为简单。考虑到如32位的除法,最多比较次数可达2^32。所以不便于硬件实现。如果寄原创 2014-11-21 16:52:57 · 22712 阅读 · 0 评论 -
状态机 精讲
一直以来都是在用两段式状态机,觉得挺好用的,也挺顺手的。但是今天看了特权的博客觉得自己还真是井底的啦蛤蟆呀。 参考了网上的一些前辈blog和自己搜集到的资料,整理了一下读书笔记,虽然内容基本上都是别人写的,但是整理起来也好累 = = 1. 有限状态机FSM(Finite State Machine) 组成元素:输入、状态、状态转载 2014-11-03 10:06:57 · 5570 阅读 · 0 评论 -
irun , vcs 调用UVM 的方法
irun VCS UVM原创 2016-10-11 10:01:43 · 9993 阅读 · 0 评论