verilog 实现无符号整数除法运算

本篇文章主要介绍一下无符号除法的硬件实现思想:主要方法有移位减法和基于coordic算法的实现(coordic实现了浮点除法):

1  基于减法的除法实现:首先比较被除数和除数的大小关系,如果被除数大于除数,那么就用被除数减去除数,然后商自加1,直至被除数小于除数,此时被除数中存储的结果即为余数。该方法由软件实现较为简单。考虑到如32位的除法,最多比较次数可达2^32。所以不便于硬件实现。如果寄存器位数较小那么可以通过有限状态机实现。


2  借助于实际计算除法的经验,比如11(1011)除以2(0010)(注:以二进制的方式进行),我们首先会比较被除数的最高位是否大于等于除数2,显然该例中1小于10,那么商0,再向下一位看,此时为10,与除数相等,商1余数为0;继续看被除数后一位为1小于除数2,商0,再向下一位看,此时为10,与除数相等,商1余数为1;这样连续比较四次便得到了最后的结果。商为5(0101),余数为1;


     verilog 实现

    a, 采用位扩展的方式实现。

   a_r={32'd0,a}; //被除数扩张

   a_r={b,32'd0}; //除数扩张

    for(i=0;i<32;i=i+1) begin

         a_r=a_r<<1;

        if(a_r>=b_r) begin

              a_r=a_r-b_r+1'b1;

           end 

        else 

             a_r=a_r;

      end

 div=a_r[31:0];

left=a_r[63:32];

b,采用单独的商位保存结果

input [7:0] src1, src2;
output desOv;
output [7:0] des1, des2;
reg desOv; reg [7:0] des1, des2;
reg [8:0] div1,div2;
integer i;
always @(src1 or src2)
begin
      if (src2==8'b0000_0000) begin
        des1<=8'bxxxx_xxxx;
        des2<=8'bxxxx_xxxx;
        desOv<=1'b1;
      end
      else if (src1==src2) begin
        des1<=8'b0000_0001;
        des2<=8'b0000_0000;
        desOv<=1'b0;
      end
      else if (src1<src2) begin
        des1<=8'b0000_0000;
        des2<=src1;
        desOv<=1'b0;
      end
      else begin
        des1=src1;
        des2=8'b0000_0000;


        div2={1'b0,src2};


// begin loop
//loop 0
for(i=0;i<8;i=i+1) begin
        des2={des2[6:0],des1[7]};//循环的将des1的各个位移入寄存器中
        des1={des1[6:0], 1'b0};
        div1={1'b1, des2};
        div1= div1 - div2;//通过是否发生借位来判断div1与div2的大小


        if (div1[8]==1'b1) begin
          des1[0]= 1'b1; //保存商数
          des2=div1[7:0];//保存余数
        end
  end

desOv=1'b0; //是否发生溢出


3 采用旋转坐标计算的方法实现:

y(i+1) = y(i) + d(i)x2^(-i);

z(i+1)=z(i)-d(i)2^(-i);

其中,z(0)=0;d(i)=-sign(y(i)); 最终结果为(y/x);

对于x经过有限次移位(乘以2^-i)必然会等于零,加之判断条件为y==0;所以通过有限状态机即可实现该算法。

该方法对于纯分数的计算特别有效。

  • 3
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值