verilog 实现无符号整数除法运算

本篇文章主要介绍一下无符号除法的硬件实现思想:主要方法有移位减法和基于coordic算法的实现(coordic实现了浮点除法):

1  基于减法的除法实现:首先比较被除数和除数的大小关系,如果被除数大于除数,那么就用被除数减去除数,然后商自加1,直至被除数小于除数,此时被除数中存储的结果即为余数。该方法由软件实现较为简单。考虑到如32位的除法,最多比较次数可达2^32。所以不便于硬件实现。如果寄存器位数较小那么可以通过有限状态机实现。


2  借助于实际计算除法的经验,比如11(1011)除以2(0010)(注:以二进制的方式进行),我们首先会比较被除数的最高位是否大于等于除数2,显然该例中1小于10,那么商0,再向下一位看,此时为10,与除数相等,商1余数为0;继续看被除数后一位为1小于除数2,商0,再向下一位看,此时为10,与除数相等,商1余数为1;这样连续比较四次便得到了最后的结果。商为5(0101),余数为1;


     verilog 实现

    a, 采用位扩展的方式实现。

   a_r={32'd0,a}; //被除数扩张

   a_r={b,32'd0}; //除数扩张

    for(i=0;i<32;i=i+1) begin

         a_r=a_r<<1;

        if(a_r>=b_r) begin

              a_r=a_r-b_r+1'b1;

           end 

        else 

             a_r=a_r;

      end

 div=a_r[31:0];

left=a_r[63:32];

b,采用单独的商位保存结果

input [7:0] src1, src2;
output desOv;
output [7:0] des1, des2;
reg desOv; reg [7:0] des1, des2;
reg [8:0] div1,div2;
integer i;
always @(src1 or src2)
begin
      if (src2==8'b0000_0000) begin
        des1<=8'bxxxx_xxxx;
        des2<=8'bxxxx_xxxx;
        desOv<=1'b1;
      end
      else if (src1==src2) begin
        des1<=8'b0000_0001;
        des2<=8'b0000_0000;
        desOv<=1'b0;
      end
      else if (src1<src2) begin
        des1<=8'b0000_0000;
        des2<=src1;
        desOv<=1'b0;
      end
      else begin
        des1=src1;
        des2=8'b0000_0000;


        div2={1'b0,src2};


// begin loop
//loop 0
for(i=0;i<8;i=i+1) begin
        des2={des2[6:0],des1[7]};//循环的将des1的各个位移入寄存器中
        des1={des1[6:0], 1'b0};
        div1={1'b1, des2};
        div1= div1 - div2;//通过是否发生借位来判断div1与div2的大小


        if (div1[8]==1'b1) begin
          des1[0]= 1'b1; //保存商数
          des2=div1[7:0];//保存余数
        end
  end

desOv=1'b0; //是否发生溢出


3 采用旋转坐标计算的方法实现:

y(i+1) = y(i) + d(i)x2^(-i);

z(i+1)=z(i)-d(i)2^(-i);

其中,z(0)=0;d(i)=-sign(y(i)); 最终结果为(y/x);

对于x经过有限次移位(乘以2^-i)必然会等于零,加之判断条件为y==0;所以通过有限状态机即可实现该算法。

该方法对于纯分数的计算特别有效。

  • 3
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 32位无符号除法器是一种用于计算器、数字信号处理器等电子工程中的数字芯片。它可以执行32位数的无符号除法运算,无符号表示运算中不考虑正负号。在Verilog实现32位无符号除法器需要以下步骤: 第一步是输入寄存器,并设置初始值。在该寄存器中输入需要进行除法运算的两个32位无符号整数B和A,其中被除数A为32位,除数B为32位。 第二步是进行除法操作,可以使用“非规范化除法法”或“高精度除法法”等算法。这里我们以“非规范化除法法”为例进行说明。首先将余数寄存器初始化为被除数A的值,然后除以除数B,如果余数寄存器的值小于除数B,则继续左移一位,将除数B左移一位,然后再次减去除数B。一直重复这个过程,直到余数寄存器的值大于等于除数B为止,此时将商寄存器的值左移一位,最后将商寄存器加上1。 第三步是输出商寄存器的结果。商寄存器存储的即为除法运算的结果,是32位无符号整数。 综上所述,我们可以在Verilog实现32位无符号除法器,它能够进行32位无符号整数A/B的除法操作,并输出商寄存器的结果。这种除法器可以用于数字计算器、数字信号处理器等电子工程中的数字芯片中。 ### 回答2: 32位无符号除法器是一种数字电路设计,可以将32位无符号整数除以任意不为零的32位无符号数。在Verilog语言中,可以使用模块化方法实现32位无符号除法器。 首先,需要定义输入输出端口,包括32位除数dividend、32位除数divisor和32位商quotient。接着,使用Verilog代码实现除法运算的算法,例如时间复杂度为O(n)的16位位移除法算法。在该算法中,先将除数左移直至其最高位小于等于被除数的最高位,然后将被除数减去左移后的除数,直到被除数小于除数,商的每一位由减法的次数确定。 最后,需要注意除数不能为零的情况,可以使用if语句判断并将商赋值为全零。此外,在实现中需要注意数据类型的选择,整数需要用无符号类型表示,如"reg [31:0] dividend"。 ### 回答3: 32位无符号除法器是一种能够将两个32位无符号整数相除的硬件电路。相对于软件实现,在硬件中实现除法运算可以获得更高的速度和性能,适用于需要快速且高效处理除法运算的场合。 在verilog语言中实现32位无符号除法器,需要写出divisor、dividend、quotient和remainder四个信号的代码,并通过实例化模块实现调用和传输数据。在计算机底层结构中,实现方式主要是通过作差减法和移位右移的方式将除数和被除数逐渐逼近相等,并通过商和余数的累计得出最终结果。 具体实现过程中,可以使用类似于Booth算法或者类似于龙皮递归算法的递归结构来进行除法计算。此外,在代码实现中还需要注意一些细节问题,如除数为0的特殊情况、被除数小于除数的情况等,需要考虑如何解决或者报错提示。 总的来说,32位无符号除法器是一种实现除法运算的高效且可靠的方法。在硬件实现中可以使用verilog语言进行代码编写,并通过递归算法实现高效的除法运算

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值