DSP学习--入坑前各种查资料

参考文章1:
1.FFT ,处理算法很重要
2.必学外设:DMA,EMIF和中段
3.开发环境CCS,配置文件的编写比如.cmd文件,gel文件的含义以及自举引导
4.学习资料:TI官网上的datasheet和官方历程

1.  DSP及开发板只是一种工具,千万不要指望学会了使用DSP就会有一份好工作和好薪水。换句话说,DSP只是躯壳,里面的算法才是灵魂。

2.  选择DSP时,首先需要清楚的是用DSP来干什么。市面上各种DSP,有ADI、TI、Freescal等等。而TI公司的DSP包括C2000系列(主要用于控制),c5000系列(用于音频处理,特点是廉价,是各大公司采购的最多的芯片),C6000系列(视频处理,阵列信号处理,图像处理等等,反正功能强大)。选择的原则是:只选对的,不选贵的。

3.  对C55xx系列的DSP,外围设备仅仅是浮云,FFT、处理算法才是核心。对于外设,用到一点学一点,不用的尽量不要学。但总有一些外设如DMA,EMIF和中段等,总是你所需要的。

4.  DSP的开发环境CCS也是学习的重点,各种配置文件的编写比如.cmd文件gel文件的含义以及自举引导等等也是不可逾越的。

5.  学习DSP最好的资料就是TI官网上的datasheet官方历程,各大牛的blog也常常有极大帮助。如果有一个高手在前面开路,那将会是一件快事。

6.  DSP入门难,深入也难。理论和代码编写一样重要。
 

参考文章2:
0.学习DSP重要的是理清外设的工作构架,没有必要对寄存器花太多的时间
1.中文教材入个门
2.研究Data Sheet,以及官网的源代码示例
学习DSP重要的不是会编程,而是算法的研究(Matlab/Simulnk仿真就不必多说了)
3.书本资料:
学FPGA我是从夏宇闻老师编写的《verilog 数字系统设计教程》
因为用的Altera公司的就选用了Altera推荐的FPGA/CPLD培训用书《Altera FPGA/CPLD设计(基础篇)》
康华光的《 数电(第五版)》每章结束都有个用Verilog HDL描述逻辑门电路的实验,是一本国内不可多得的与时俱进的学习数电的教材
4.学习算法的书:①《MATLAB及其在FPGA中的应用》,国防工业出版社;②《DSP程序开发--MATLAB 调试及直接目标代码生成》,李老师编著,西电出版社。

http://bbs.elecfans.com/jishu_414354_1_1.html

对于学习DSP重要的是理清外设的工作构架,相应的寄存器如何设置,没有必要对寄存器花太多的时间去研究,用的时候能找到(寄存器的名称都是英文的缩写,很好理解的)。学习DSP,先找本中文教材入个门,之后你会发现好多地方、尤其是真正要用的,往往也是最复杂的地方书上都找不到,更谈不上做什么项目了,这时候就要开始深入研究Data Sheet,以及官网的源代码示例,有了之前的中文教材基础看起来就快多了;学习DSP重要的不是会编程,而是算法的研究(Matlab/Simulnk仿真就不必多说了),光会编程是没有用处的,也只是个程序员而已,还不如你现在的工作。学FPGA我是从夏宇闻老师编写的《verilog 数字系统设计教程》,因为用的Altera公司的就选用了Altera推荐的FPGA/CPLD培训用书《Altera FPGA/CPLD设计(基础篇)》,康华光的《 数电(第五版)》每章结束都有个用Verilog HDL描述逻辑门电路的实验,是一本国内不可多得的与时俱进的学习数电的教材。康的那本模电也是模电的经典教材,再翻看下铃木雅臣(日本)著的《晶体管电路设计》会相得益彰,这本书很注重实际。
由于DSP和FPGA的型号众多,更新换代太快,就不推荐具体的书籍了,最终都要回归芯片的DATA SHEET和算法的研究。
鄙人在这里推荐两本书学习算法的书:①《MATLAB及其在FPGA中的应用》,国防工业出版社;②《DSP程序开发--MATLAB 调试及直接目标代码生成》,李老师编著,西电出版社。等你研究到算法就知道这两本书是多么的perfect。
无论精通这两门中的任意一门,都得需要花相当的时间和精力,而且中文资料都很少,能派上用场的就跟少了,英文要好。从现在开始就要把专业英语打扎实。加油!
不求加分,但愿着点经验能对你有用。

我初步选择的两块开发板

1.北京瑞泰

 

 

http://www.realtimedsp.com.cn/article.asp?nid=896

相关链接

2.创龙tronlong

官网

https://tronlong.taobao.com/?spm=2013.1.1000126.3.1f8015d8d3RAkE

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

计算机视觉-Archer

图像分割没有团队的同学可加群

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值