自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 收藏
  • 关注

原创 office卸载链接

1、office卸载工具链接:卸载工具及下载地址:https://support.office.com/zh-cn/article/%E4%BB%8E-pc-%E5%8D%B8%E8%BD%BD-office-9dd49b83-264a-477a-8fcc-2fdf5dbf61d8?ui=zh-CN&rs=zh-CN&ad=CN...

2019-05-15 11:01:59 228

原创 异步FIFO中格雷码和二进制数据的转换

1、二进制数据转换为格雷码parameter ADDRSIZE = 4;wire [ADDRSIZE:0] wbnext,wgnext; //wbnext is binary code,wgnext is gray code;assign wgnext = (wbnext >> 1) ^ wbnext; //binary code ...

2019-05-05 16:21:34 565

转载 Verilog 1995 和Verilog 2001语法比较

2001年3月IEEE正式批准了Verilog‐2001标准(IEEE1364‐2001),与Verilog‐1995相比主要有以下提高。1、模块声明的扩展(1)Verilog‐2001允许将端口声明和数据类型声明放在同一条语句中,例子如下:(2)Verilog‐2001中增加了ANSIC风格的输入输出端口声明,可以用于module,task和function。例子如...

2019-04-27 11:54:02 2294

转载 IC设计经典图书汇总

1、《Verilog HDL高级数字设计》  中文版和原著。这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高。书中例子及其丰富,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器,其中一章讲一个简单RISC的部分尤其值得仔细揣摩,可以令大家受益匪浅。书中很好的贯穿了code风格与综合电路的关系,以及状态机的写法。看完此书你会发...

2019-04-22 10:56:18 1235

转载 input_delay和output_delay时序约束

转载:https://www.cnblogs.com/linjie-swust/archive/2012/03/01/FPGA.html1.1  概述  在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序例外约束才能实现PCB板级的时序收敛。因此,FPGA时序约束中IO口时序约束也是一个重点。只有约束正确才能在高速情况下保证FPGA和外部器件通信正确。1...

2018-09-20 11:04:47 16875

转载 转载一位资深工程师FPGA设计经验精华!

从大学时代第一次接触FPGA至今已有10多年的时间。至今依然记得当初第一次在EDA实验平台上完成数字秒表,抢答器,密码锁等实验时,那个兴奋劲。当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74系列逻辑器件搭建起来的。后来读研究生,工作陆陆续续也用过Quartus II,Foundation,ISE,Libero,并且学习了verilogHDL语言,学习的过程中...

2018-08-06 16:54:33 327

原创 FPGA资源利用

1.代码如下`timescale 1ns/1nsmodule state(clk,en,rst_n,cnt);input clk;input rst_n;input en;output cnt;reg cnt;always@(posedge clk or negedge rst_n)if(!rst_n) cnt <= 1'd0;else if(en) ...

2018-07-27 18:06:35 242

原创 Verilog语法之function函数

function [1:0] dataout;input a;input[1:0] b;integer k;    if(a)        for(k=0;k<1;k=k+1)begin            dataout = b[k]^b[k+1];        end    else        dataout = 2'd0;   endfunctiona...

2018-07-20 18:34:51 13345 1

原创 Vivado,Matlab,C读写文件语法汇总

1、vivado中读写文件语法:integer fp;     //写文件语法;initial begin    fp = $fopen("E:/Users/project802.11a/project802.11a/project802.11a.srcs/sources_1/doc/Tsgen.dat","w");    if(fp == 0)begin        $display("err...

2018-07-13 15:31:56 1873

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除