1、vivado中读写文件语法:
integer fp; //写文件语法;
initial begin
fp = $fopen("E:/Users/project802.11a/project802.11a/project802.11a.srcs/sources_1/doc/Tsgen.dat","w");
if(fp == 0)begin
$display("error to open document!");
end
else begin
$display("complete to open document!");
end
end
always@(posedge clk)
if(long_oe)begin
$fdisplay(fp,"%h",long_re);
end
reg [7:0] sin[0:100];
initial //读文件语法;
$readmemh("E:/Users/project802.11a/project802.11a/project802.11a.srcs/sources_1/doc/sin.dat",sin,0,100);
always@(posedge clk or negedge rst_n)
if(!rst_n)
mm <= 7'd0;
else
mm <= mm+1'b1;
always@(posedge clk