西南交大计算机组成实验C-E5
实验目的,实验目的,说明实验仪器、设备等说明参见《计算机组成实验C》实验及课程设计指导书
程序代码
CPU寄存器组设计:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity CPU is
port(CLK,Wr,Rd,RESET:in std_logic;--WR,RD,写/读控制,低电平有效
RA,M:in std_logic_vector(1 downto 0);--RA1 RA0控制4个通用寄存器 M1 M0控制PC寄存器四种操作
D: in std_logic_vector(7 downto 0);--置数操作
SEL:buffer std_logic_vector(2 downto 0);
LED7:out std_logic_vector(7 downto 0)
);
e