西南交大计算机组成实验C(VHDL)-实验五 CPU寄存器组设计

该博客介绍了西南交通大学计算机组成实验C的第五部分——CPU寄存器组的设计。实验旨在通过VHDL进行实现,内容包括程序代码展示和引脚锁定的参考信息。
摘要由CSDN通过智能技术生成

西南交大计算机组成实验C-E5

实验目的,实验目的,说明实验仪器、设备等说明参见《计算机组成实验C》实验及课程设计指导书

程序代码

CPU寄存器组设计:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity CPU is
port(CLK,Wr,Rd,RESET:in std_logic;--WR,RD,写/读控制,低电平有效
     RA,M:in std_logic_vector(1 downto 0);--RA1 RA0控制4个通用寄存器 M1 M0控制PC寄存器四种操作
     D: in std_logic_vector(7 downto 0);--置数操作
     SEL:buffer std_logic_vector(2 downto 0);
     LED7:out std_logic_vector(7 downto 0)
     );
e
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值