EDA大作业——交通灯代码

本文介绍了使用EDA技术完成的一个交通灯控制系统的FPGA实现,包括分频器、控制器、数码转换器和闪烁器的设计。分频器用于定时,控制器根据预设状态切换交通灯颜色,数码转换器将数字转化为显示信号,闪烁器则处理显示效果。
摘要由CSDN通过智能技术生成

EDA大作业——交通灯代码

 报告说明链接 http://blog.csdn.net/a350203223/article/details/36059701

附件:程序设计

(1)分频器的设计

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

 

entity arc_devide5m is

port(

clk : in std_logic;

clk_out : out std_logic

);

end arc_devide5m;

 

architecture behave of arc_devide5m is

signal count : std_logic_vector(26 downto 0);

signal newcount : std_logic;

begin 

process

begin

wait until clk'event and clk = '1';

if( count < 25000000) then

count <= count + 1;

newcount <= newcount;

else

count <= (others => '0');

newcount <= not newcount;

end if;

end process;

clk_out <= newcount;

end architecture behave;

 

(2)控制器设计

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

 

entity control is

port(   clk,hold:in std_logic;

ared,agreen,ayellow,bred,bgreen,byellow: out std_logic;

aout,bout: out std_logic_vector(6 downto 0));

end control;

 

architecture behavior of control is

type state_type is (s0,s1,s2,s3,s4);

signal current_state,next_state: state_type;

signal c

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值