大作业
文章平均质量分 71
启功
这个作者很懒,什么都没留下…
展开
-
数字电子技术基础大作业---电子表、流水灯
数字电子技术基础大作业电子表、流水灯一.电子表1.1应用的元件555、六片74LS160N、三片74LS26D、两片74LS04D、六个个D_HEX(十六进制输入的显示数码管)、电阻、电容若干1.2简单原理用555定时器产生频率为1HZ的时钟,作为十进制计数器的时钟源,计数器开始计时,并连接十六进制数码管进行显示,显示秒表个位数值。当计满十时,QD电位变化,产生下一片计原创 2014-06-30 22:46:25 · 8043 阅读 · 7 评论 -
通过K-均值聚类算法对图像进行分割
一.实验目的 通过K-均值聚类算法对图像进行分割。二.算法概要 图像分割是一种重要的图像分析技术。在对图像的研究和应用中,人们往往仅对图像中的某些部分感兴趣。这些部分常称为目标或前景(其他部分称为背景)。它们一般对应图像中特定的、具有独特性质的区域。为了辨识和分析图像中的目标,需要将它们从图像中分离提取出来,在此基础上才有可能进一步对目标进行测量,对图像进行利用。图像分割就是把图像分成各具特性原创 2014-07-07 17:21:45 · 7375 阅读 · 0 评论 -
智能系统平台专业实验 人脸识别
一、实验目的在一般环境中识别出人脸。二、概要本实验所探讨的是一般环境图像中单个正面端正人脸的检测问题。这种条件下的人脸检测的方法主要有模板匹配方法、可变形模板方法等。概括的说,基于模板匹配的方法是在图形灰度上直接比较目标模板和候选图像区域之间的相似性,而基于特征匹配的方法是比较从图像中抽取的一定特征的相似性。本实验主要用到两种模板:双眼模板和不同长宽比的模板。在检测时首先使用双眼模原创 2014-07-07 17:33:21 · 1457 阅读 · 1 评论 -
西安电子科技大学智能传感技术归纳提纲
西安电子科技大学zhi'neng'chuang使用须知:1、本文档为智能传感技术提纲,内容为老师给的重点+页码,方便开卷查阅;2、书中无387页,第十二章页码为386之后1-30;3、本文档由个人整理,时间仓促难免疏漏与错误(尤其页码部分),考试前最好提前阅读;4、不喜勿用!5、最后祝大家考试顺利!2013.7.6智能传感技术 第 1章 信息传感基础 ——原创 2014-07-07 17:09:00 · 2279 阅读 · 0 评论 -
西安电子科技大学 机器学习课程资料
西安电子科技大学 机器学习课程资料原创 2014-07-07 17:06:27 · 4239 阅读 · 0 评论 -
基于模板匹配的运动目标跟踪
一、实验目的 通过该实验,初步了解模板匹配算法,体会并学习如何用该算法实现视频跟踪。 二、算法概要 模板就是一幅已知的小图像。模板匹配就是在一幅大图像中搜寻目标。已知在该图中有要寻找的目标,且该目标与模板有相同的尺寸、方向和图像,通过一定的算法可以在图中找到目标,确定其坐标位置。 如图1 所示,设模板T 叠放在搜索图S 上平移,模板覆盖下的那块搜索图叫做子图, 这块子图的左上角像素点在原创 2014-07-07 17:29:26 · 5207 阅读 · 1 评论 -
通过FCM来实现图像分割
一、 通过FCM来实现图像分割 二、算法描述:模糊c均值聚类算法的步骤还是比较简单的,是用隶属度确定每个数据点属于某个聚类的程度的一种聚类算法。FCM把n个向量xi(i=1,2,…,n)分为c个模糊组,并求每组的聚类中心,使得非相似性指标的价值函数达到最小。FCM与HCM的主要区别在于FCM用模糊划分,使得每个给定数据点用值在0,1间的隶属度来确定其属于各个组的程度。与引入模糊划分相适原创 2014-07-07 17:24:29 · 9735 阅读 · 0 评论 -
CT和MRI的局限性分析
摘要众所周知,在医疗诊治中CT和MRI等影像检查具有重要的地位,它们是临床医生不可缺少的检查方法。但也存在一些应用上的局限性。在这些局限性中,有的为检查方法的基本原理所限制,比较难以克服;有的为机器性能和技术因素所引起,可通过科学技术的进步和新技术的开发加以克服;有的是人为因素所造成的,通过技术培训、经验积累和严格执行操作规程,可以克服和改善。有的则可以通过CT和MRI两种检查方法的联合应用而原创 2014-07-07 17:13:03 · 8524 阅读 · 0 评论 -
西安电子科技大学计算智能导论公茂果老师课程所有PPT
西安电子科技大学http://pan.baidu.com/s/1hqst8pe原创 2014-07-07 17:03:20 · 6264 阅读 · 5 评论 -
EDA大作业——交通灯
EDA大作业 题 目: 交通灯 授课老师: 徐少莹 学 院: 电子工程学院 专 业: 智能科学与技术 学生姓名: 孙其功 02115033 班 级: 0 2 1 1 5 1 一、 设计要求设计一个十字路口交通控制系统,其东西,南北两个方向除了有红原创 2014-06-30 23:08:43 · 16168 阅读 · 4 评论 -
EDA大作业——交通灯代码
附件:程序设计(1)分频器的设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity arc_devide5m isport(clk : in std_logic;clk_out : out std_logic);end arc_devide5m;原创 2014-06-30 23:11:38 · 10166 阅读 · 0 评论 -
机器学习实验报告——Linear Regression
机器学习实验报告——Linear Regression 一、 实验要求要求用梯度下降法对给定的数据X,Y进行线性拟合。给出迭代次数,拟合曲线。二、 实验思路 模型的建立: 估计函数的假设: 其中,X为输入变量,θ为参数。 假设误差估计函数(error function)为J,我们的目标便是寻找合适的参数θ,使得误差函数J最小化。原创 2014-06-30 23:16:59 · 9361 阅读 · 0 评论 -
bmp 格式图像印刷体数字的识别
一、实验目的 初步了解模板匹配算法,理解bmp 图像在内存中的存储形式,实现bmp 格式图像印刷体数字的识别。 二、算法概要 由于实验要求是对标准印刷体数字进行识别,本实验采用模板匹配中最简单的像素点重合的方法,将待测图像中的数字与模板库中的各个数字进行比对,认为待测数字就是模板库中与其重合像素点最多的数字。 当bmp 图像读入实验板存储空间之后,imgbuf 指针指向其像素点数据的首原创 2014-07-07 17:27:35 · 3857 阅读 · 0 评论