STM32F407VG (三)ADC

 12位ADC是一种逐次逼近型模拟数字转换器。它有多达19个通道,可测量16个外部和2个内部信号源和VBAT通道。各通道的A/D转换可以单次、连续、扫描或间断模式执行。ADC的结果可以左对齐或右对齐方式存储在16位数据寄存器中。模拟看门狗特性允许应用程序检测输入电压是否超出用户定义的高/低阀值。


1.ADC通用初始化参数
 /* ADC Common 配置 ----------------------------------------------------------*/
    //工作在独立模式或多重模式
    ADC_CommonInitStructure.ADC_Mode = ADC_Mode_Independent;
   //ADC时钟频率2,4,6,8
    ADC_CommonInitStructure.ADC_Prescaler = ADC_Prescaler_Div2;
   //配置多ADC模式的直接内存访问模式
    ADC_CommonInitStructure.ADC_DMAAccessMode = ADC_DMAAccessMode_Disabled;
   //两个采样之间的延时
    ADC_CommonInitStructure.ADC_TwoSamplingDelay = ADC_TwoSamplingDelay_5Cycles;
   //调用初始化函数  
    ADC_CommonInit(&ADC_CommonInitStructure);
2,ADC初始化
//ADC精度选择12,10,8,6
 ADC_InitStructure.ADC_Resolution = ADC_Resolution_12b;
// 是否是 扫描 转换模式 (用于多通道 ENABLE,单通道 DISABLE)
 ADC_InitStructure.ADC_ScanConvMode = ENABLE;
//是否是连续转换模式(自己在连续不断地进行转换)
 ADC_InitStructure.ADC_ContinuousConvMode = ENABLE;
//是否是外部事件触发转换?
 ADC_InitStructure.ADC_ExternalTrigConvEdge = ADC_ExternalTrigConvEdge_None;
 //数据对齐方式 
ADC_InitStructure.ADC_DataAlign = ADC_DataAlign_Right;
//转换次数
 ADC_InitStructure.ADC_NbrOfConversion = 1;
//调用初始化函数
ADC_Init(ADC1, &ADC_InitStructure);
   

4.规则组通道配置
    /* ADC1 regular 16 channel configuration ******************************/
   ADC_RegularChannelConfig(ADC1, ADC_Channel_0,  1, ADC_SampleTime_15Cycles);   


   //温度传感通道16
     ADC_TempSensorVrefintCmd(ENABLE);
  //电池电压通道18
    /* Enable VBAT channel */
    ADC_VBATCmd(ENABLE);

   5. DMA请求类型 
   //最后一次转换完成调用DMA
    /* Enable DMA request after last transfer (Single-ADC mode) */
    ADC_DMARequestAfterLastTransferCmd(ADC1, ENABLE);
  6. 使能DMA
   /* Enable ADC1 DMA */
  ADC_DMACmd(ADC1, ENABLE);

 7.使能ADC1
    /* Enable ADC1 **************************************************************/
    ADC_Cmd(ADC1, ENABLE);
  8.开始转换   
     /* Start ADC1 Software Conversion */
    ADC_SoftwareStartConv(ADC1);
  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值