【转载】浅谈阻塞和非阻塞语句的本质区别

阻塞和非阻塞语句作为verilog HDL语言的最大难点之一,一直困扰着FPGA设计者,即使是一个颇富经验的设计工程师,也很容易在这个点上犯下一些不必要的错误。阻塞和非阻塞可以说是血脉相连,但是又有着本质的差别。理解不清或运用不当,都往往会导致设计工程达不到预期的效果,而其中的错误又很隐晦。下面我给大家谈谈阻塞和非阻塞语句的本质区别和在FPGA设计中的不同运用。

  阻塞语句

  顾名思义,即本条语句具有影响下一条语句的作用,在同一个进程always中,一条阻塞赋值语句的执行是立刻影响着下条语句的执行情况和结果。如果该条语句没有执行完,那么下条语句不可能进入执行状态的,因此,从字面层上理解,该条语句阻塞了下面语句的执行。阻塞语句最能体现verilog HDL和C语言之间的血缘关系,比如,在时钟沿触发的always进程里,若先执行b=c,再执行a=b,那么本质上,在一个时钟沿触发里面,a=c成立,即是说,不要b变量,直接在进程里赋值a=c,结果是一样的。这和c语言中b=c,a=b性质相同。

  非阻塞语句

  非阻塞语句应该来说,更能体现硬件电路的特点。这正是非阻塞语句广泛应用于时序逻辑电路的原因。接上面的例子,如果在一个时钟沿触发的always进程里面,b<=c,a<=b那么就不可能直接在进程里面赋值a<=c.因为c的值要经过两个时钟延迟才传到a里面,即c若从0变为1,那么要经过两个clk上升沿才传到a,a的值才从0变为1。两次赋值正是体现了两个时钟延迟的特点。这种特点即是非阻塞语句非阻塞的的原因导致的,就是说,a<=b,不会因为b<=c没有执行完毕而不执行,只要时钟触发进程,那么a<=b,b<=c同时执行。所以,如果c为1,b为0,a为1的话,那么在在非阻塞语句的进程里面,一个时钟沿到来,由于他们之间是同时执行的,所以把c的1赋给了b,把b的0赋给了a,但是在阻塞语句里面,c的1先给了b,然后b把新赋值的1又给了a,那么a在一个时钟之后即变成了1。(在一次触发进程里,无论是阻塞和非阻塞语句,每条语句只能执行一次)

  赋值的类型的选择取决于建模的逻辑类型。在时序块的 RTL 代码中使用非阻塞赋值。非阻塞赋值在块结束后才完成赋值操作,此赋值方式可以避免在仿真出现冒险和竞争现象。在组合的 RTL 代码中使用阻塞赋值。使用阻塞方式对一个变量进行赋值时,此变量的值在在赋值语句执行完后就立即改变。使用非阻塞赋值方式进行赋值时,各个赋值语句同步执行;因此,通常在一个时钟沿对临时变量进行赋值,而在另一个时钟沿对其进行采样。

  所以从上面的介绍里面,可以看出,阻塞语句是顺序执行的,而非阻塞语句是同时执行的,那么,如何在设计里面运用好阻塞语句和非阻塞语句呢,总体上来讲,遵循大体原则:阻塞语句运用在组合逻辑电路设计里面,非阻塞语句运用在时序逻辑电路设计里面。但是一般来讲,一个设计往往包含着组合逻辑和时序逻辑。可以再细分为以下几个情况,并可以用阻塞语句和非阻塞语句不同的设计来区别讨论它们之间的优缺点,进一步理解清楚……(最直观的说法就是如下仿真一下:观察out1~out4的变化,就明白了!

  `timescale 1ns/100ps

  module test1();

  reg clk;

  reg sigin;

  reg out1;

  reg out2;

  reg out3;

  reg out4;

  //assign #10 out3 = sigin;

  always #10 clk=~clk;

  always #70 sigin = ~sigin;

  initial

  begin

  sigin = 1'b0;

  clk= 1'b0;

  out1 =1'b0;

  out2 =1'b0;

  end

  always @(sigin)

  begin

  $display(‘%d',$time);

  out1<=sigin;

  out2<= out1;

  out3 = sigin;

  out4 = out3;

  $display('%d',$time);

  end

  endmodule


  #1: 当为时序逻辑建模,使用“非阻塞赋值”。

  #2: 当为锁存器(latch)建模,使用“非阻塞赋值”。

  #3: 当用always块为组合逻辑建模,使用“阻塞赋值”

  #4: 当在同一个always块里面既为组合逻辑又为时序逻辑建模,使用“非阻塞赋值”。

  #5: 不要在同一个always块里面混合使用“阻塞赋值”和“非阻塞赋值”。

  #6: 不要在两个或两个以上always块里面对同一个变量进行赋值。

  #7: 使用$strobe以显示已被“非阻塞赋值”的值。

  #8: 不要使用#0延迟的赋值。

  阻塞过程赋值执行完成后再执行在顺序块内下一条语句。非阻塞赋值不阻塞过程流,仿真器读入一条赋值语句并对它进行调度之后,就可以处理下一条赋值语句。若过程块中的所有赋值都是非阻塞的,赋值按两步进行:1. 仿真器计算所有RHS表达式的值,保存结果,并进行调度在时序控制指定时间的赋值。2. 在经过相应的延迟后,仿真器通过将保存的值赋给LHS表达式完成赋值。

  • 3
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值