自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

hunterlew的专栏

做一个有理想的工科男!https://github.com/hunterlew

  • 博客(19)
  • 问答 (1)
  • 收藏
  • 关注

原创 Verilog实现fir和iir滤波器的细节问题

最近要求用fpga仿真fir和iir滤波器并比较它们的性能。fir一天即完成,而iir花了近一个多上星期才搞出来,没有想象中这么简单,需要考虑很多细节。         fir滤波器由于没有反馈,因此跟着时间往前计算,实现流水线也不难,总能得到结果。而iir滤波器由于存在反馈,很容易由于量化误差问题而导致不稳定。还有其他一系列细节问题,如下:1、  考虑好输入是有符号的,滤波器系数也是有符

2016-02-21 22:49:40 9293 1

原创 ISE与Modelsim联合仿真配置和技巧

听说Modelsim是神器,最近写了FIR和IIR程序需要仿真,用ISE内置的ISim似乎没法看连续波形,因此想装Modelsim,配置上花了不少功夫。如果和我一样用的ISE版本是12.4,强烈建议用Modelsim6.5即可,配置过程简单,无非就是编译Xilinx库并导入Modelsim中。如果不导入Xilinx库,在ISE调用编译时会报错,类似于弹出一个对话框,提示说找不到库。编译教程可以

2016-02-21 22:47:19 5890

原创 MATLAB与ISE联合仿真的必备流程

MATLAB与ISE联合仿真的必备流程一般的仿真流程是,通过MATLAB进行核心算法的仿真,然后从MATLAB产生数据并传给ISE的testbench,运行verilog程序,并将输出数据在Modelsim中仿真,并写回MATLAB中观察(例如频谱等)。MATLAB写入testbench:MATLAB:sin_data=dec2bin(sin_data); [m,n]=size

2016-02-21 22:44:12 6624

转载 浅谈计算机中浮点数的表达方法

计算机中是如何存储和表达数字的?对于整数,情况比较简单,直接按照数学中的进制转换方法处理即可,即连续除以2取余。这并不是难点,真正的难点在于小数是如何转换为二进制码(即浮点数)的。当然,从数学的角度来讲,十进制的小数可以转换为二进制小数(整数部分连续除2,小数部分连续乘2),例如125.125D=1111101.001B,但问题在于计算机根本就不认识小数点“.”,更不可能认识1111101.0

2016-02-21 22:42:32 1847

原创 thinkPHP框架搭建心得

通过一周的学习,简单入门了PHP和thinkphp,和当初学习ssh一样搭建了一个注册和登录的实例作为检验,记下心得体会吧。的确感觉用php框架搭建比ssh快多了,主要体现在thinkphp它都把系统目录结构都定好了,MVC也有各自的目录,分别编写各个部分即可。而ssh光是配置就要配置很久,还有很讨厌的xml,搞了好久才弄出个登录的例子,感觉需要学习很多东西的样子。新手搭建thinkphp

2016-02-21 22:33:38 1367

原创 thinkPHP浓缩版笔记

1、  目录,自动生成,结构熟悉。 2、  配置(php以数组形式,最好大写)加载顺序:惯例—应用配置—模块配置—动态配置惯例配置:convention.php复制数据库部分到模块config.php覆盖,在控制器中用C函数和dump将配置读取出来可看到,数据库的配置已经添加上去。读取:$model = C('URL_MODEL');配置:C('DATA_CACHE_T

2016-02-21 22:32:24 618

原创 PHP知识点——4

数据库:连接MYSQL:$servername = "localhost";$username = "username";$password = "password";try {    $conn = new PDO("mysql:host=$servername;dbname=myDB",$username, $password);    echo "Conn

2016-02-21 22:29:52 624

原创 PHP知识点——3

多维数组:在多维数组中,主数组中的每一个元素也可以是一个数组,子数组中的每一个元素也可以是一个数组。// A two-dimensional array:$cars = array(array("Volvo",100,96),array("BMW",60,59),array("Toyota",110,100));?> PHP date():PHP

2016-02-21 22:29:00 607

原创 PHP知识点——2

表单处理:写法:Name: Age:  Welcome.php文件:Welcome !You are years old. 注意:加了entype=”text/plain”后会收不到数据。表单验证:应该在任何可能的时候对用户输入进行验证(通过客户端脚本)。浏览器验证速度更快,并且可以减轻服务器的负

2016-02-21 22:26:49 499

原创 PHP知识点——1

安装环境:WAMP,如果不想只用记事本编辑代码,用IDE也行,下载了easyeclipse for php。 基本:PHP 脚本以  开始,以 ?> 结束:echo "Hello World!";?> 变量:PHP 变量规则:·        变量以 $符号开始,后面跟着变量的名称·        变量名必须以字母或者下划线字符开始·      

2016-02-21 22:25:35 602

原创 基于虚拟机的labview远程控制

由于服务器是linux系统,而之前做的远程控制都是基于windows的labview程序,因此想在linux中安装xp虚拟机实现。安装了virtualbox,深度xp ghost系统,并在xp中安装labview 2011。虚拟机中xp对usb的支持:安装extendpackage(全版本可以)并启用2.0控制器并添加筛选器。对串口的支持:如果是单机对外通信(比如我想要实现的单片机烧写)不

2016-02-15 17:37:17 2274

原创 virtualbox装WinXP系统

因为需要在服务器上用windows的软件等实现其他功能,只能在linux下安装虚拟机。作为验证,我拿了自己的win7电脑先尝试了一番,用的是virtualbox(听说比VMware更轻量级,符合简单场合)。按照网上的教程,分为以下几步:1、  新建虚拟机,重点设置内存、硬盘大小和位置。2、  新建后,点击设置,在存储选项卡处挂在盘,指向准备好的系统iso。3、  运行虚拟机,到装系

2016-02-15 17:36:19 945

原创 s2sh框架搭建心得

利用短短寒假的时间,把最常用的三个框架整合在了一起,算是入了个门。不管以后会不会从事相关工作,我觉得年轻人多学一点也没坏处吧。先是单独学习三个框架,然后把struts2和hibernate先整合在了一起,最后再加上spring。可以说spring的出发点更是对于整个系统的维护,强调灵活性、拓展性。所以一旦加上spring,需要做比较多的修改,其实也是一种好的框架习惯的培养。S2sh整合的核

2016-02-15 17:34:20 1386

原创 struts2+hibernate流程

Struts2没有集成在MyEclipse中,所以需要手动添加。今晚以简单的登录为例子,介绍配置流程:1、  下载struts2相关jar,放在WEB-INF的lib文件夹中(常用的8-9个jar)。2、  修改web.xml,添加struts2的相关过滤器。添加的部分:filter>   filter-name>struts2filter-name>   filter-cla

2016-02-15 17:32:34 527

原创 mysql免安装版配置

对Hibernate框架的学习就必须有数据库,我选择了流行的mysql,在官网上下载了免安装版zip(安装版是msi)。今天配置了一下,不难,但还是碰到了不少问题,记下。 摘自百度:MySQL 绿色版安装配置教程一、下载,这里使用绿色免安装版1.网上搜索mysql绿色免安装版下载即可。二、配置MySQL的参数1、解压缩绿色版软件到E:\mysql-5.6

2016-02-15 17:31:30 520

转载 struts1和2的区别总结

Struts2主要改进是取代了Struts1的Servlet和Action。1、Struts2的核心框架是当作一个filter来实现其功能的,而Struts1是ActionServlet。这是本质区别。struts1通过servlet来拦截客户端的请求,因为在web.xml里定义了一个ActionServlet。struts2通过filter来拦截客户端的请求,因为在web.xml里定义了一个

2016-02-15 17:29:38 2331

转载 struts2拦截器的理解

Struts2的拦截器的实现原理和过滤器的实现差不多,对你真正想执行的 execute()方法进行拦截,然后插入一些自己的逻辑。如果没有拦截器,这些要插入的逻辑就得写在你自己的 Action实现中,而且每个 Action实现都要写这些功能逻辑,这样的实现非常繁琐。而 Struts2的设计者们把这些共有的逻辑独立出来,实现成一个个拦截器,既体现了软件复用的思想,又方便程序员使用。 Struts2

2016-02-15 17:27:18 525

转载 设计模式之工厂模式

为何使用?工厂模式是我们最常用的模式了,著名的Jive论坛 ,就大量使用了工厂模式,工厂模式在Java程序系统可以说是随处可见。为什么工厂模式是如此常用?因为工厂模式就相当于创建实例对象的new,我们经常要根据类Class生成实例对象,如A a=new A() 工厂模式也是用来创建实例对象的,所以以后new时就要多个心眼,是否可以考虑实用工厂模式,虽然这样做,可能多做一些工作,但会给你系统

2016-02-15 17:20:13 473

原创 red5视频直播初探

后续16-3-7:实现red5+tomcat在外网的部署:过程曲曲折折,一开始部署到外网后,只能加载页面,然而视频就是播不出来,就是连点播都点播不了。百度了一下,资料特别少,大概都是说proporties文件的设置。其实也是很巧,想到这个ip到底是填写内网ip还是外网ip呢,然后页面文件中涉及路径也要写ip又是应该写哪一个呢?大概也是排列组合地试了一番,结论如下:1、总的conf文件夹中的proporties文件,按照内网ip设置;2、工程文件夹中的似乎不用改;3、页面文件夹中的路径,要么使用相对路

2016-02-14 11:50:19 4501 2

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除