ISE与Modelsim联合仿真配置和技巧

本文介绍了如何配置ISE 12.4与Modelsim 6.5进行FPGA联合仿真,强调了在不导入Xilinx库时可能出现的错误及解决方法,提供了编译Xilinx库的教程链接,并分享了在10.2版本中遇到的版本匹配问题及其官方解决方案。此外,还给出了编写testbench时的注意事项和提高仿真效率的技巧,如利用ISim检查语法错误、保存波形为do文件以便快速重复仿真。
摘要由CSDN通过智能技术生成

 

听说Modelsim是神器,最近写了FIR和IIR程序需要仿真,用ISE内置的ISim似乎没法看连续波形,因此想装Modelsim,配置上花了不少功夫。

如果和我一样用的ISE版本是12.4,强烈建议用Modelsim6.5即可,配置过程简单,无非就是编译Xilinx库并导入Modelsim中。如果不导入Xilinx库,在ISE调用编译时会报错,类似于弹出一个对话框,提示说找不到库。编译教程可以参考链接,包括Modelsim破解和编译。

http://forum.eepw.com.cn/thread/261647/1

注意编译前要将ini文件的只读属性去掉,因为编译过程会修改。

这个编译需要一定时间,请耐心等待..

如果和我一样蛋疼的使用10.2版本,编译过程会出错,说version版本问题。似乎是版本匹配问题。对此问题,网上有很多方法,但我觉得官方的pack是最靠谱的。给个链接:

http://download.csdn.net/detail/yanghuogun/8714001#comment

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值