基于verilog的曼彻斯特编译码

曼彻斯特编码是非常常见的编码,网线就是采用这种编码。课本上说的“含有丰富的时钟信息”。

编码的步骤,是用01表示0,用10表示1。正是因为用跳变沿表示电平,使得它的频率是信号的两倍。

以下是编码的程序:


仿真的波形:


从波形可以看出,曼码可以避免连0或者连1。

这里有问题,我默认了时钟信号的频率是曼码频率的两倍,因为我必须利用时钟沿编码。不太清楚实际情况是什么样的,因为网上都是时钟信号频率等于编码频率,很奇怪。

  • 6
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值