流水线技术原理和Verilog HDL实现

所谓流水线处理,如同生产装配线一样,将操作执行工作量分成若干个时间上均衡的操作段,从流水线的起点连续地输入,流水线的各操作段以重叠方式执行。这使得操作执行速度只与流水线输入的速度有关,而与处理所需的时间无关。这样,在理想的流水操作状态下,其运行效率很高。
  如果某个设计的处理流程分为若干步骤,而且整个数据处理是单流向的,即没有反馈或者迭代运算,前一个步骤的输出是下一个步骤的输入,则可以采用流水线设计方法来提高系统的工作频率。
  下面用8位全加器作为实例,分别列举了非流水线方法、2级流水线方法和4级流水线方法。

(1)非流水线实现方式

01 module adder_8bits(din_1, clk, cin, dout, din_2, cout);
02     input [7:0] din_1;
03     input clk;
04     input cin;
05     output [7:0] dout;
06     input [7:0] din_2;
07     output cout;
08       
09      reg [7:0] dout;
10      reg       cout;
11       
12      always @(posedge clk) begin
13         {cout,dout} <= din_1 + din_2 + cin;
14      end
15  
16 endmodule

(2)2级流水线实现方式:

01 module adder_4bits_2steps(cin_a, cin_b, cin, clk, cout, sum);
02     input [7:0] cin_a;
03     input [7:0] cin_b;
04     input cin;
05     input clk;
06     output cout;
07     output [7:0] sum;
08       
09      reg cout;
10      reg cout_temp;
11      reg [7:0] sum;
12      reg [3:0] sum_temp;
13       
14      always @(posedge clk) begin
15         {cout_temp,sum_temp} = cin_a[3:0] + cin_b[3:0] + cin;
16      end
17       
18      always @(posedge clk) begin
19         {cout,sum} = {{1'b0,cin_a[7:4]} + {1'b0,cin_b[7:4]} + cout_temp, sum_temp};
20      end
21 endmodule

注意:这里在always块内只能用阻塞赋值方式,否则会出现逻辑上的错误!

(3)4级流水线实现方式:

01 module adder_8bits_4steps(cin_a, cin_b, c_in, clk, c_out, sum_out);
02     input [7:0] cin_a;
03     input [7:0] cin_b;
04     input c_in;
05     input clk;
06     output c_out;
07     output [7:0] sum_out;
08       
09      reg c_out;
10      reg c_out_t1, c_out_t2, c_out_t3;
11       
12      reg [7:0] sum_out;
13      reg [1:0] sum_out_t1;
14      reg [3:0] sum_out_t2;
15      reg [5:0] sum_out_t3;
16       
17      always @(posedge clk) begin
18         {c_out_t1, sum_out_t1} = {1'b0, cin_a[1:0]} + {1'b0, cin_b[1:0]} + c_in;
19      end
20       
21      always @(posedge clk) begin
22         {c_out_t2, sum_out_t2} = {{1'b0, cin_a[3:2]} + {1'b0, cin_b[3:2]} + c_out_t1, sum_out_t1};
23      end
24       
25      always @(posedge clk) begin
26         {c_out_t3, sum_out_t3} = {{1'b0, cin_a[5:4]} + {1'b0, cin_b[5:4]} + c_out_t2, sum_out_t2};
27      end
28       
29      always @(posedge clk) begin
30         {c_out, sum_out} = {{1'b0, cin_a[7:6]} + {1'b0, cin_b[7:6]} + c_out_t3, sum_out_t3};
31      end
32  
33  
34 endmodule

总结:利用流水线的设计方法,可大大提高系统的工作速度。这种方法可广泛运用于各种设计,特别是大型的、对速度要求较高的系统设计。虽然采用流水线会增大资源的使用,但是它可降低寄存器间的传播延时,保证系统维持高的系统时钟速度。在实际应用中,考虑到资源的使用和速度的要求,可以根据实际情况来选择流水线的级数以满足设计需要。
  这是一种典型的以面积换速度的设计方法。这里的“面积”主要是指设计所占用的FPGA逻辑资源数目,即利用所消耗的触发器(FF)和查找表(LUT)来衡量。“速度”是指在芯片上稳定运行时所能达到的最高频率。面积和速度这两个指标始终贯穿着FPGA的设计,是设计质量评价的最终标准。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值