单片机

大学生智能汽车设计整本书课件(超级推荐)

 

1 时钟电路 

 标准的MC9S12DG128单片机的时钟电路,通过把一个16 MHz的外部晶振接到单片机的外部晶振输入接口EXTAL和XTAL上,然后利用MC9S12DG128内部的压控振荡器(VCO)和锁相环(PLL)把这个频率提高到25 MHz,使之作为单片机工作的内部总线时钟。值得注意的是,MC9S12DG128单片机的外时钟电路既可以使用串联振荡电路,也可以使用并联振荡电路。使用串联振荡电路时,XCLKS引脚即PE7引脚要拉低,而使用并联振荡电路或外部有源振荡器时,该引脚要拉高。因此,在最小系统的设计中,通常会设计一处跳线,方便选择外部有源振荡器还是串联振荡电路。图5.10所示是最小系统外接无源晶振的接口电路。若直接使用有源振荡器,则可以接一个16 MHz的外部有源晶体振荡器,经单片机内部分频后得到一个8 MHz的总线时钟。由于EXTAL的输入电压为2.5 V,故有源晶体振荡器输出的时钟信号必须经分压后才可以和EXTAL连接。

          时钟电路的振荡器一方面为单片机系统提供时钟,另一方面时钟电路又是一个很强的高频干扰源,因此,为避免对电路板上其他元件造成高频干扰,时钟电路部分的印刷电路板的设计要做得特别讲究。在设计印刷线路板的布线时,为使系统的电磁兼容性得到保证,单片机系统时钟电路和单片机电源电路印刷线路板布线必须满足以下的原则:
(1)时钟产生器尽量靠近用到该时钟的器件。
(2)尽量让时钟信号回路周围电场趋近于零。要用地线将时钟区圈起来,时钟线要尽量短。
(3)石英晶振的外壳要接地。
(4)在石英晶振的下面和对噪声特别敏感的器件下面不要布线。
(5)锁相环的滤波电路要尽量靠近MCU。

      单片机的每个电源端和接地端都要接一个去耦电容,去耦电容要尽量靠近MCU。
对于给单片机不同电源端供电的VDD线也要遵循上述规则,如模拟电路供电端VDDA、给单片机I/O模块供电端VDDX、给MCU内部电压调整器供电端VDDR等。片内的电压调整器向片内各模块输出多种不同规格的电压,如VDD1, VDD2,需要外接滤波电容时,一定不要省略。特别是时钟、锁相环电路的电源端VDDPLL,是由内部电压调整器给出的2.5 V电压,因此一定要接滤波器件。A/D变换用的参考电压端的供电也要经过特殊的处理才能保证A/D变换的精度

//

   2.串行口的RS-232驱动电路
通过串口驱动电路中的RS-232电平转换芯片,单片机可以利用异步通信协议与PC机通信。RS-232电平转换芯片可以实现TTL电平与RS-232电平之间的转换,然后再通过9芯串行口与PC进行串口通信。
3.电源电路
HCS12单片机的芯片内部使用3 V电压,而I/O端口和外部供电电压为5 V。通常需要采用滤波电路改善系统的电磁兼容性,降低系统对电源的高频干扰。
4.复位电路
复位电路的作用是产生一个低电压信号给MC9S12DG128的RESET端,使系统上电启动。在最小系统中,通常使用低电压复位芯片MC34064,使上电复位更加可靠。而在设计系统目标板时,也可以只用一只0.1 F的电容替代电路中的MC34064。同时,在系统目标板上通常添加一个手动复位按钮,以方便调试时使用。

  
6.单片机并行口及驱动能力

S12单片机的I/O接口有较强的电流吸收能力,各I/O接口可以允许10 mA吸收电流,此时输出端仍能保证不高于0.8 V。

     对于定义为输出口的单片机引脚,可输出逻辑1或逻辑0,在5 V供电的情况下,逻辑1不低于4.2 V,逻辑0不高于0.8 V。如果不作特别说明,一般单片机的I/O的驱动能力相当于一个TTL晶体管的驱动能力。TTL晶体管导通时,输出为0,相当于输出端接地,允许流过晶体管的典型电流为4 mA;TTL晶体管截止时,输出端通过片内的上拉电阻保持输出端高电平。当作为高电平输出时,通过上拉电阻可以给出的电流最大仅为0.8 mA。因此,当单片机的引脚作输出用时,与高电平可能的输出电流相比,低电平有较强的吸收电流的能力,不能指望由单片机向外提供功率,也不能指望单片机输出的高电平可以直接点亮一个LED,而要利用晶体管的导通,靠外部供电来驱动输出设备。这样,当单片机的引脚输出低电平时,晶体管导通,相当于开关与地接通,LED点亮;当单片机的引脚输出高电平,晶体管截止,相当于开路,LED熄灭。

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值