SV类型转换

目录

一、概述

二、动态转换

1、子类句柄赋值于父类句柄

 2、父类句柄转换为子类句柄


一、概述

  • 类型转换可以分为静态转换动态转换
  • 静态转换即需要在转换的表达式前加上单引号即可,该方式并不会对转换值做检查。如果发生转换失败,我们也无从得知。
  • 动态转换即需要使用系统函数$cast(tgt,src)做转换
  • 静态转换和动态转换均需要操作符号或者系统函数介入,统称为显式转换
  • 不需要进行转换的一些操作,我们称之为隐式转换。例如赋值语句右侧是4位的矢量,而左侧是5位的矢量,隐式转换会先做位宽扩展(隐式),然后再做赋值。

二、动态转换

  •  当我们使用类的时候,类句柄的向下转换,即从父类句柄转换为子类句柄时,需要使$cast()函数进行转换,否则会出现编译错误,这一步也是编译器的保护措施,防止用户出现错误的赋值
  • 如果将子类句柄赋值给父类句柄时,编译器则认为赋值是合法的,但分别利用子类句柄和父类句柄调用相同对象的成员时,将可能有不同的表现。

说明:父类句柄指向的对象和子类句柄指向的对象相同的前提下,父类句柄只能调用小三角形,而不能扩大到大三角形,否则系统就会报错;而子类句柄调用的是大三角形,先调用大三角形中的子类,子类没有,再掉用父类

举个例子:

1、子类句柄赋值于父类句柄

 

 2、父类句柄转换为子类句柄

 

  •  将一个父类句柄赋值给一个子类句柄并不总是非法的
  • 但是SV编译器对这种直接赋值的做法是禁止的,也就是说无论父类句柄是否真正指向了一个子类对象,赋值给子类句柄时,编译 (静态)都将出现错误
  • 因此需要$cast(tgt,src)来实现句柄类型的动态转换
  • $cast(tgt,src)会检查句柄所指向的对象类型而不仅仅检查句柄本身。
  • 一旦源对象目的句柄是同一类型,或者是目的句柄的扩展类,$cast()函数执行即会成功,返回1,否则返回0。

 

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值