UVM(5)-通信管道

文章讨论了TLM通信的实现方式,特别是通过TLM_FIFO组件解决端对端传输的问题,以及如何通过AnalysisPort实现从一端到多端的数据分发。此外,还介绍了AnalysisTLMFIFO如何进一步支持多目标传输。最后,提到了Request&Response通信管道,如uvm_tlm_req_rsp_channel,用于在initiator和target之间进行请求和响应的数据交换。
摘要由CSDN通过智能技术生成

书接上文,上文细说了TLM通信,这节来说说通信管道,通信管道解决了下面两个问题:

问题1:TLM通信的实现方式,这些通信有一个共同的地方即都是端对端的同时在target一端需要实现传输方法,例如put()或者get()。这种方式在实际使用也可能会给用户带来一些烦恼,如何可以不自己实现这些传输方法,同时可以享受到TLM的好处?

问题2:对于monitor、coverage collector等组件在传输数据时,会存在端到多端的传输如何解决这一问题?

目录

TLM_FIFO

 Analysis Port

Analysis TLM FIFO

Request & Response通信管道 


TLM_FIFO

TLM FIFO uvm_tlm_fifo类是个新组件,它继承于uvm_component类,而且已经预先内置了多个端口以及实现了多个对应方法供用户使用。

 注意:只有组件才能例化端口!!!

uvm_tlm_fifo的功能类似于mailbox不同的地方在于uvm_tlm_fifo提供了各种端口供用户使用

uvm_tlm_fifo提供了put、get、peek对应的端口:

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值