FPGA_ip_Rom

本文探讨了Rom,一种只读存储器,其固态半导体特性使其数据不可修改。介绍了单端口和双端口Rom的区别,以及配置过程,包括初始化使用.hex或.mif格式及后续仿真步骤。
摘要由CSDN通过智能技术生成

一 理论

Rom存储类ip核,Rom是只读存储器的简称,是一种只能读出事先存储数据的固态半导体存储器。

特性:

       一旦储存资料,就无法再将之改变或者删除,且资料不会因为电源关闭而消失。

单端口Rom:

双端口rom:

二 Rom ip核配置

先进行初始化操作,.hex或者.mif格式。之后再调用,仿真。

  • 13
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值