自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(42)
  • 收藏
  • 关注

原创 制作从Qspi Flash启动的Linux系统

该值为:boot分区大小(0xA00000) + u-boot环境变量分区的大小(0x10000) + 内核分区的大小(0x1500000);QSPI_KERNEL_OFFSET = “0xA10000” (内核前两个分区大小的和:boot分区 + u-boot环境变量分区)QSPI_FIT_IMAGE_SIZE = “0x1500000”(petalinux-config中配置的kernel分区的大小)分区的大小只要大于实际存放在这些分区中文件的大小即可;注意:这里后面的数字是指分配的大小。

2024-08-20 08:47:26 410 1

原创 Xilinx XAPP585、XAPP1315相关

2、通过将经IDELYA的数据输入到iSerdes进行解串后的数据,进行相应的延迟操作,进而得到延迟后的数据;3、得到的延迟数据,将在后续经过gearbox_4_to_7进行4bit到7bit的转换操作;第一个状态机:这里主要是在对时钟线延迟的基础上,通过BITSLIP操作,做时钟的对齐;第二个状态机:这里对c_delay_in所做的操作,主要是对时钟线的延迟进行控制;1、通过输入的延迟参数计算出,Data BUS上IDELAY模块所需要的延迟值;

2024-08-14 11:19:34 245 1

原创 MMCM CLKFBOUT 的输出问题

参考 ug472手册可知,CLKFBOUT 要与相频检测器(PFD)的频率一致;

2024-08-12 17:10:01 112

原创 Petalinux 使用相关问题

【代码】Petalinux 交叉编译环境。

2024-08-09 22:54:03 198

原创 MIPI相关

在D-PHY中,只有数据Data0 lane 是双向的(只有LP模式支持),时钟和其他数据都是单向的;HS 高速模式(差分信号):用于高速数据传输,速率每个lane为 80Mbps - 100Mbps;LP 低功耗模式(单端信号):用于控制,速率最大10Mbps;是单端的,不是差分的;FPGA中可通过不同的引脚输出LP和HS信号;

2024-07-28 21:40:16 119

原创 Xilinx FPGA的MultiBoot

若MultiBoot Image启动失败,则运行Golden Image;注:这里用的是16MByte的Flash。MultiBoot Image起始地址为。

2024-07-11 10:39:45 354

原创 Xilinx DDR3仿真 DBG

DDR3仿真报错 # ** Error (suppressible): (vsim-8630) Infinity results from division operation.

2024-06-16 21:59:51 387

原创 时序裕量计算

建立时间的检查要保证的是实际数据到达时间(Arrival Time)必须早于规定时间(Required Time),而保持时间检查要保证的是实际数据到达时间(Arrival Time)不能早于规定的时间(Required Time)。

2024-05-13 13:45:24 250

原创 FPGA 相关工具资料

FPGA 相关工具资料。

2024-03-09 14:05:55 334

原创 Xilinx FPGA Configuration 技术小结

https://xilinx.eetrend.com/blog/2020/100047429.html

2024-01-08 11:38:43 454

原创 matlab三维绘图——三组向量

若 x,y,z 三组数据没有一个明显的关系式,比如是三维的矩阵或者是三个向量。这三组数据一一对应,我是做实验提取出来的数据,就可以用这个画图。

2023-12-25 14:58:28 872

原创 NMOS 与 PMOS

NMOS&PMOS

2023-11-09 10:27:14 85

原创 小数的二进制表示

将小数部分乘以2,取出结果整数部分作为二进制表示的第1位(从左向右);再将结果的小数部分乘以2,将结果整数部分作为二进制表示的第2位;以此类推,直到小数部分为0。特殊情况: 小数部分出现循环,则用有限的二进制位无法完全表示一个小数,这也是在编程语言中表示小数会出现误差的原因。转化为二进制,将结果保留10bit。从小数点右侧开始算起;

2023-09-21 19:19:07 3438

原创 Xilinx时钟的动态配置(DRP 接口)

参考设计使用处理MMCM地址的状态机驱动DRP端口,读取前面的值,屏蔽需要更改的位,设置新值,最后将该值写入MMCM DRP端口。

2023-09-20 09:31:34 830 2

原创 FPGA的快速启动

https://xilinx.eetrend.com/d6-xilinx/article/2013-08/4506.html

2023-08-24 14:15:05 97

原创 VHDL中的类型限制

一些数据,无法从本身断定其类型,如“01010101”,VHDL编译器无法知道它是什么数据类型;这时需要进行数据类型的限定。这样编译器就知道“01010101”是 std_logic_vector 类型了。类型名 ' (数据)

2023-08-16 11:24:56 69

原创 VHDL中bit的翻转

【代码】VHDL中bit的翻转。

2023-07-26 14:31:34 161

原创 excel 使用if选取对应列中的数据

2023-07-04 17:04:13 148

转载 【无标题】

彩色图片转灰度图有一个著名的公式 Gray=0.299∗R+0.587∗G+0.114∗BGray = 0.299 * R + 0.587 * G + 0.114 * B Gray = 0.299 * R + 0.587 * G + 0.114 * B ,就可以通过传入RGB三通道的值来计算出相对应的灰度值。需要注意的是在FPGA里面无法直接处理小数,所以我们需要将小数定点化。链接:https://zhuanlan.zhihu.com/p/421552912。##二、FPGA代码。

2023-05-31 09:28:24 72

原创 Verilog中四种循环语句

Verilog中提供了四种循环语句,可用于控制语句的执行次数,分别为:for,while,repeat,forever。其中,for,while,repeat是可综合的,但循环的次数需要在编译之前就确定,动态改变循环次数的语句是不可综合的。forever语句是不可综合的,主要用于产生各种仿真激励。

2023-05-12 10:04:53 790

原创 ZYNQ知识

XCZ7020 PS端的IIC控制器不具备filter功能。SDA或SCL上有毛刺,将会引起信号线误触发,需要滤除这些毛刺。

2023-04-24 16:32:58 95

原创 TCL 基础

TCL 教程

2023-03-23 17:10:50 2681

转载 Verilog近似除法运算

0.33333336 * 2 = 0.66666672 取整数部分 0 (等号左边舍弃整数部分再乘以2)% 0.33333344 * 2 = 0.66666688 取整数部分 0 (等号左边舍弃整数部分再乘以2)% 任何小数都可以变成 1/2, 1/4, 1/8, 1/16 …. 无限逼近的形式.% 从左到右,第一个对应1/2,第二位对应1/4,第三位对应1/8。% 0.66666668 * 2 = 1.33333336 取整数部分 1。% 可以无限循环,但是如果A的位数为8,那么循环7次就可以了。

2023-02-02 11:20:09 391

原创 VSCode高亮字符全部选中

VSCode

2023-01-14 13:48:39 605 1

原创 Excel十六进制文本转十进制

16进制文本转10进制

2023-01-12 17:42:02 215

原创 ZYNQ PS侧 AXI接口的WSTRB信号的疑问

PS侧 AXI接口的WSTRB信号的疑问

2023-01-08 10:40:51 1280

原创 ZYNQ的EMIO中断问题

关于PS 端 EMIO中断的问题

2023-01-02 22:39:05 581

原创 【无标题】

MMCM and PLL Configuration Bit Groups

2022-12-08 19:03:59 301

原创 C语言中的变量

C语言中的变量

2022-11-29 23:16:05 506

原创 GENERATE语句

VHDL数字电路设计教程

2022-11-24 10:59:20 425

原创 VHDL数字电路设计教程

VHDL数字电路设计教程

2022-11-24 10:42:08 1740

原创 HDL学习札记

HDL学习札记

2022-11-09 17:01:22 1991

原创 Verilog、FPGA

FPGA 中的比较器

2022-08-25 15:25:06 369

原创 Bayer 转 RGB (3x3矩阵插值)

Bayer 转 RGB (3x3矩阵插值)

2022-08-23 15:12:30 1443 1

原创 ISE14.7 使用 Modelsim 10.1c进行仿真前的库文件编译错误

编译库文件时出现以下错误:ERROR:Compxlib - Can not update non-existent setup file modelsim.ini.解决方法:将Modelsim安装目录下的modelsim.ini文件添加到环境变量中,再次编译。

2022-05-25 17:37:44 557

原创 VSCode iverilog编译

下面是知友(浮沉野马)的文章中的一部分:Vscode的插件,调用iverilog编译,生成.o文件。vvp命令可以生成.vcd文件,gtkwave可以直接打开.vcd文件查看波形。下面我们摆脱vscode编辑器的束缚,在CMD敲命令行代码,调用iverilog编译生成.o文件,然后用vvp命令生成.vcd波形文件(需要在testbench仿真模块"tb_code"里添加下面的代码,不然不会生成.vcd文件),然后用gtkwave打开.vcd波形文件查看波形。下面展示一些 内联代码片。/* 生成vcd

2022-05-18 15:57:14 1190

原创 verilog “function”函数一直报错解决办法

verilog “function”函数一直报错 ,经qq群中的大佬指点,发现是在 function 函数内部的逻辑部分缺少 begin……end导致。在此记录一下。function automatic [15:0] crc_16_w; input [799:0] crc_data; input [ 7:0] crc_num; integer i,j; reg [15:0] temp; begin /******/ crc_1

2022-03-11 14:30:20 879

转载 【无标题】

如何添加子模块信号到波形窗口观测

2022-03-09 16:14:25 45

转载 跟我一起写Makefile(陈皓)

https://seisman.github.io/how-to-write-makefile/index.html

2022-02-18 15:13:23 203

原创 人言:缘分已尽而已

人对爱和永远 应该有幻觉路过人间 也才几十年却为了爱 勇于蹉跎岁月相遇离别 贪嗔爱痴怨路过人间 就忙着这些谁有意见 莫非是心里面 渺无人烟无人可恋 来这人间 有多浪费----《路过人间》...

2021-03-15 23:46:43 136

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除