2024北航计组P0

第一题  CRC

逻辑方法实现

1.设计四位模二除法器:

模块中输入四位被除数和四位除数,输出是三位余数。

如果被除数的最高位是0结果就输出被除数本身,否则输出被除数和除数按位异或之后的结果(模二除法余数),这里可以通过最高位和mux来选择结果。按位异或就是用分线器将被除数和除数分开,搞三个异或门就行,被除数和除数的最高位不需要异或,因为如果要进行按位异或,最高位一定是1^1=0,而且结果中的余数本身就是后面三位按位异或的结果(我这该死的表达,感觉没讲清楚,劳烦大家仔细体会

2.搭建CRC

从被除数最高4位开始,依次和除数进行模二除法,记录每次的余数,和被除数的下一位(第五位)拼接之后再和除数进行第二次模二除法,以此类推,一共进行8次,单个模块大概长这样:

黑箱就是前面搭建好的4位模二除法器,b10~b7位最高4位,b6为第5高位,将最高四位和除数计算后的结果和b6拼接,继续进行下一次和这个一样的除法运算,直到算出结果。

打表

注意到教程里的这么一段话:初拿到如上表的需求,可以发现这是一个没有状态的部件,自身内部不存储信息,单纯的输入就决定了输出,因此有一种相当暴力的思路就是直接画出真值表,输入总共 11 位 2 进制码(考虑到除数的最高位一定为 1),输出 11 位二进制码,我们需要动 2048 下手指才可以把真值表画出来——似乎繁琐了点?我们需要更加简单的方法。但是希望大家明白真值表法永不过时,在输入输出比较小时是非常实用的方法,并且可以使用相关工具自动生成电路。

什么?你就想打表?,行,给你参考代码(本人英语不好,请见谅):

#include<bits/stdc++.h>

using namespace std;

vector<int> path(13);

void dfs(int k);
void dispose();

int main(){
    dfs(0);
    return 0;
}

// 递归生成二进制序列
void dfs(int k){
    if(k == 11){
        dispose();
        cout << endl;
        return;
    }
    path[k] = 0;
    dfs(k + 1);
    path[k] = 1;
    dfs(k + 1);
}

// CRC 校验逻辑
void dispose(){
    int bchu[11] = {0};  // 确保 bchu 完全初始化为 0
    int chu[4];

    int top = 10;

    // 填充 bchu 的高位(前 8 位数据)
    for(int i = 0; i < 8; i++){
        bchu[top--] = path[i];
    }

    // 初始化 chu 用于多项式
    chu[2] = path[8], chu[1] = path[9], chu[0] = path[10], chu[3] = 1;

    // 开始多项式除法运算
    for(int i = 0; i < 8; i++){
        if(bchu[10-i]){  // 如果当前位是 1,进行异或操作
            for(int k = 0; k < 4; k++){
                bchu[10-i-k] ^= chu[3-k];  // 与生成多项式逐位异或
            }
        }
    }

    // 输出 bchu 的低 3 位
    /*for(int i = 2; i >= 0; i--){
        cout << bchu[i] << "	";
    }*/
    cout<<bchu[0];
}

考虑到打表只能一列一列复制,所以dispose的最后一行只能输出结果的一位,比如第一次第0位的所有列,然后复制过去,第二次把cout<<bchu[0]改成cout<<bchu[1],以此类推

最后:记得调外观,外观!!!!!!

第二题  GRF

写这道题必须要掌握一些pre中的半自动化教程,不然真的会鼠

首先,单个寄存器一般长这样,特别的,0号寄存器的in之间置为0即可

1.写入

想要往寄存器里写入数字是从西边的data空,WD是要写入的数字,WE决定往哪里写,A3决定能不能写,所以可以使用Dmx,0号寄存器不用接线。注意:Dmx必须设置为Three state,不然没选上写入的寄存器会自动读入0,造成原来数据丢失。选散三态(three state)之后没选上的寄存器会置空,原来的数据依然健在,达到存储效果。

2.读出

两个读出其实一样,利用mux和A2选择哪个out输出即可

学习编写一些脚本来修改logisim文件会大大减轻本题连线的痛苦。

最后:记得调外观,外观!!!!!!

第三题  navigation

状态机。值得一提的地方是hit,我觉得hit直接用状态转移生成值就行了,然后也用一共寄存器和输出一样变化就行了(本质上就是如果两次站的地方一样就置1hit),hit是否为1也是由当前状态和输入决定的,嗯,打表就行了

statusdirectionnext_statusarrivehit
0000000100
0000100001
0001000001
0001100001
0010001100
0010101000
0011000000
0011100101
0100010000
0100101001
0101001001
0101100100
0110001101
0110110000
0111000100
0111101101
100xx00010

第四题  FSM

状态转移图

需要注意是同步复位也就是要类似于图中mux部分,mux南方管脚接clr。你问为什么有这么多probe?我的外观搞错了导致debug两小时,感谢我舍友kang神的帮助

本人菜鸡,不对之处还请各位大佬点出

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值