FPGA项目(14)——基于FPGA的数字秒表设计

收集整理了一份《2024年最新物联网嵌入式全套学习资料》,初衷也很简单,就是希望能够帮助到想自学提升的朋友。
img
img

如果你需要这些资料,可以戳这里获取

需要这些体系化资料的朋友,可以加我V获取:vip1024c (备注嵌入式)

一个人可以走的很快,但一群人才能走的更远!不论你是正从事IT行业的老鸟或是对IT行业感兴趣的新人

都欢迎加入我们的的圈子(技术交流、学习资源、职场吐槽、大厂内推、面试辅导),让我们一起学习成长!

//parameter hz_num=19’d5; //仿真时使用 (仿真的时候,分频系数要小一些,否则看不到分频效果,下载到板子上时,此参数改为500K)

reg clk_hz;
reg [18:0] hz_cnt;

//产生100hz的模块
always @(posedge clk_in or negedge rst) begin
if(!rst)
begin
hz_cnt<=19’d0;
clk_hz<=1’b0;
end
else if(hz_cnt==hz_num/2-1)
begin
hz_cnt<=19’d0;
clk_hz<=~clk_hz;
end
else
hz_cnt<=hz_cnt+1;
end

assign clk_out=clk_hz;

endmodule


仿真截图为:(仿真时记得把分频系数改小)


![](https://img-blog.csdnimg.cn/direct/b05d0043047649e79ad2facbf18f2cad.png)


可见,分频成功!



 显示模块:


本次所采用的是数码管显示,6位独立数码管,每个数码管都静态显示(FPGA用了6\*8=48个管脚来驱动这些数码管,所以用不上数码管动态显示的知识,这种做法会降低代码编写的难度,但是会增大FPGA的IO口资源消耗!)


代码为:



module segshow(
input clk,
input rst,
input [3:0] data,
output reg [6:0] seg_led
);

always @(posedge clk or negedge rst) begin
if(!rst)
seg_led<=7’b1000000;
else
begin
case(data)
4’d0 : seg_led <= 7’b1000000; //显示数字 0
4’d1 : seg_led <= 7’b1111001; //显示数字 1
4’d2 : seg_led <= 7’b0100100; //显示数字 2
4’d3 : seg_led <= 7’b0110000; //显示数字 3
4’d4 : seg_led <= 7’b0011001; //显示数字 4
4’d5 : seg_led <= 7’b0010010; //显示数字 5
4’d6 : seg_led <= 7’b0000010; //显示数字 6
4’d7 : seg_led <= 7’b1111000; //显示数字 7
4’d8 : seg_led <= 7’b0000000; //显示数字 8
4’d9 : seg_led <= 7’b0010000; //显示数字 9
default : seg_led <= 7’b1000000;
endcase
end
end

endmodule


仿真截图:


![](https://img-blog.csdnimg.cn/direct/453f37147f104118b09333a9a673ae69.png)


可见,数码管的输出会随着输入数据的变化而正确变化。故仿真正确。



然后就是主体的控制逻辑了:


 ![](https://img-blog.csdnimg.cn/direct/57df99efbaa643adbeac8d828e7cf2cf.png)


根据输入的按键信号,进行相应的操作


 仿真:


![](https://img-blog.csdnimg.cn/direct/9a0bb9794eab4ae9a15ec3c56c03e0f5.png)


可以看到,SW1为0的时候,秒表确实已经停止计时了。待SW1为1,又继续计时。


故,功能正常!



总体电路如下:


 ![](https://img-blog.csdnimg.cn/direct/42affa87b6b74c0580f0cb03adabad50.png)


总体的仿真截图如下:


 ![](https://img-blog.csdnimg.cn/direct/70c67d588a70413f99132ab299f5760e.png)


 


 ![](https://img-blog.csdnimg.cn/direct/6d1f480324884bc4a4f8506d73a1f0e9.png)



## 3.课题意义


基于FPGA(Field-Programmable Gate Array,现场可编程门阵列)的电子秒表课题具有以下几个重要的意义:



![img](https://img-blog.csdnimg.cn/img_convert/2d87ee543689e5bd5d56bdba6dfb3c41.png)
![img](https://img-blog.csdnimg.cn/img_convert/8d13a684253afc0547935bc5bb3e6e21.png)

**既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,涵盖了95%以上物联网嵌入式知识点,真正体系化!**

**由于文件比较多,这里只是将部分目录截图出来,全套包含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、电子书籍、讲解视频,并且后续会持续更新**

**需要这些体系化资料的朋友,可以加我V获取:vip1024c (备注嵌入式)**

**[如果你需要这些资料,可以戳这里获取](https://bbs.csdn.net/topics/618679757)**

含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、电子书籍、讲解视频,并且后续会持续更新**

**需要这些体系化资料的朋友,可以加我V获取:vip1024c (备注嵌入式)**

**[如果你需要这些资料,可以戳这里获取](https://bbs.csdn.net/topics/618679757)**

  • 15
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
数字秒表是一种常见的计时工具。它通常用于测量时间,计算运动员完成任务所用的时间,也用于科学研究和工程测量等方面。本文将介绍基于FPGA数字秒表设计FPGA是一种可编程逻辑器件,可以在电路板上实现特定功能。数字秒表数字计时器和显示器组成。数字计时器计算时间,然后将结果转换为显示器可以显示的七段数码显示。因此,数字秒表设计需要包括两个部分。 首先,设计数字计时器需要确定计时器的精度和计时范围。计时器的精度越高,计时器计算的时间越准确。计时范围决定了计时器能够计算的最长时间。在FPGA上实现计时器可以使用计时器模块,其中包括一个时钟发生器和计数器。时钟发生器发出固定的脉冲,驱动计数器的计数。计时器模块的输出可以是二进制值或BCD码。 其次,设计计算和显示数字秒表所需的数字电路。由于秒表的显示通常使用七段数码管,需要设计数字电路将计时器模块的输出转换为七段数码管所需的信号。这可以通过组合逻辑和时序逻辑的组合来实现。由于FPGA的可编程性,可以轻松地在FPGA上实现数字电路。 综上所述,基于FPGA数字秒表设计需要确定计时器的精度和计时范围,并设计计算和显示数字秒表所需的数字电路。FPGA的可编程性使得数字电路的设计更加简单,同时提高了数字秒表的性能。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值