基于FPGA的VHDL语言呼吸灯设计

本文介绍了一种基于Altera Cyclone II EP2C35 FPGA平台的呼吸灯设计,通过锁相环、计数器生成可变占空比的PWM波形来控制LED亮度,实现2秒周期的呼吸效果。设计包括锁相环、计数器和PWM模块,详细阐述了系统原理和工作流程,并提供了相关VHDL代码实现。
摘要由CSDN通过智能技术生成

网上的各种资料讲解地不是很全,希望能把自己的思考分享给大家,一同学习。
有不足的地方希望大家能指出,共同进步!

1.系统概述

        本设计在AlteraCyclone II EP2C35 平台上,利用锁相环、计数器等数字电路,产生占空比可随时间自动变化的PWM波形,从而控制LED灯的亮度变化,实现以2s为周期的LED灯的呼吸显示效果。


2.系统原理图

        如图 1所示,input和output为输入输出模块。altpll0为锁相环模块,inclk0为输入引脚,c0为输出引脚。count10为十分频计数器模块,clk为输入引脚,outclk为输出引脚。pwm为脉冲宽度调制模块,clkus为输入引脚,pwmout为输出引脚。PIN_U11为FPGA开发板上的50MHz晶振产生引脚,PIN_W22为LED灯1的电平输入引脚。

                                            图1 系统原理图

        晶振产生的50MHz信号从input输入后经过锁相环处理,将不稳定的晶振转换为稳定可靠的10Mhz时钟信

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值