Verilog HDL实验-1

一、实验目的:练习Quartus II和Modelsim软件的使用。
二、完成课本例题4.8(BCD码加法器),进行综合和仿真(包括功能仿真和时序仿真),查看仿真结果,将Verilog代码和仿真波形图整理入实验报告。

请添加图片描述

//add4_bcd.v
module add4_bcd(cout,sum,ina,inb,cin);
input cin; input[3:0] ina,inb;
output[3:0] sum; reg[3:0] sum;
output cout; reg cout;
reg[4:0] temp;
 always @(ina,inb,cin)
	begin temp=ina+inb+cin;
	if(temp>9) {cout,sum}=temp+6;
	else {cout,sum}=temp;
 end
endmodule

//test.v
`timescale 1ns/1ns
module test;
reg cin; reg[3:0] ina,inb;
wire[3:0] sum;
wire cout;
add4_bcd A(cout,sum,ina,inb,cin);
initial
begin
		cin=0;ina=4'b0000;inb=4'b0000;
	#10 ina=4'b0001;inb=4'b0000;cin=0;
	#10 ina=4'b0001;inb=4'b0001;cin=0;
	#10 ina=4'b1001;inb=4'b1001;cin=0;
	#10 ina=4'b0000;inb=4'b0000;cin=0;
	#100 $stop;
end
endmodule

三、完成课本例题4.11(加法计数器),进行综合和仿真(包括功能仿真和时序仿真),查看仿真结果,将Verilog代码和仿真波形图整理入实验报告。
请添加图片描述

//count4.v

module count4(out,reset,clk);
input reset,clk;output reg[3:0] out;
always @(posedge clk)
	begin
	if(reset) out<=0;
	else 		 out<=out+1;
	end
endmodule
	
//test1.v

`timescale 1ns/1ps
module test1;
	reg clk,reset;
	wire [3:0] out;
	count4 il(
	.clk(clk),
	.out(out),
	.reset(reset)
	);
	parameter PERIOD=40;
initial begin
	reset=1; clk=0;
	#PERIOD; reset=0;
#(PERIOD*50) $stop;
end
always begin
	#(PERIOD/2) clk = ~clk;
end
endmodule
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

AQ_No_Happy

你的满意是我的动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值