自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 资源 (1)
  • 收藏
  • 关注

转载 OFDM正交频分复用——详解——5G-LTE知识必备

这篇文章写得很赞,本想上自己的仿真笔记,看到这篇文章,还是...原文:《给”小白”图示讲解OFDM的原理》以下为整理内容,添加部分笔记:章节一:时域上的OFDM  OFDM的”O”代表着”正交”,那么就先说说正交吧。  首先说说最简单的情况,sin(t)和sin(2t)是正交的【证明:sin(t)·sin(2t)在区间[0,2π]上的积分为0】,而正弦函数又是波的最直观描述,因此我们就以此作为介入点。既然本文说的是图示,那么我们就用图形的方式来先理解一下正交性。  在下面的图示中,在

2020-06-02 20:37:25 7121 1

原创 Modelsim自动化仿真——modelsim脚本不用学

每次编译Verilog程序后,都需要手动添加波形,还在这样低效率的操作么?解决办法——使用Modelsim脚本命令。modelsim的脚本命令比较简单,如添加波形:add wave -radix hex dut/*1、-radix hex,以16进制显示波形,其他格式见帮助文档2、dut/*,添加testbench中例化的dut的所有信号当然,还可以把脚本语句加到文件中,下次编译后,直接运行文件即可。1. 创建modelsim脚本文件:Modelsim的脚本文件为...

2020-05-31 20:46:35 1898

原创 Vivado使用VS code编译器

有一段时间没有使用Vivado,还好以前有比较详细的笔记,照着以前的笔记很快再次上手Vivado。笔记链接如下:Vivado入门笔记-SOC-快速入门:https://blog.csdn.net/AccFPGA/article/details/105331833突然发现Vivado没有Quartus好用了。但之前从Vivado转为使用Quartus的时候,的确是觉得Vivado的功能比Quartus强大。这是什么逻辑。。。还有一个不好用的地方就是文本编辑器,编辑器还是推荐VS ...

2020-05-17 21:19:38 2184 2

原创 Vivado 提高笔记2—SOC—快速入门(Zynq 7000系列)

双CPU工作建立工程为双CPU分别建立Board Support PackageStandalone_bsp_cpu0Standalone_bsp_cpu1分别在package工程上建立应用工程Hello_cpu0Hello_cpu1双处理器同时在线调试DEBUG选择配置选项Run >debug Configuration的Device Initiali...

2020-04-25 15:25:19 1397

原创 Vivado 提高笔记1—SOC—快速入门(Zynq 7000系列)

Vivado与Modelsim仿真1.添加modelsim路径:Tool >options>2. 安装编译仿真库使用modelSIm需要xilinx library被编译。 运行1: report_property [current_project] 运行2:Tcl Commandcompile_simlib -help...

2020-04-25 13:51:13 1453

原创 FPGA编程中常用的经典方法及技巧(图像处理-映射技术)

流水线设计基本概念流水线处理源自现代工业生产装配线上的流水作业,是指将待处理的任务分解为相对独立的、可以顺序执行的而又相互关联的一个个子任务。流水线处理是高速设计中的一个常用设计手段,如果某个设计的处理流程分为若干步骤,并且整个数据处理是“单流向”的,即没有反馈或者迭代运算,前一个步骤的输出是下一个步骤的输入,那么可以考虑采用流水线设计方法来提高系统频率。流水线设计结构如图所示。其...

2020-04-25 13:26:17 3213

原创 FPGA快速入门— Verilog快速入门

Verilog HDL(Hardware Description Language)是在用途最广泛的C语言的基础上发展起来的一种硬件描述语言,具有灵活性高、易学易用等特点。 Verilog HDL可以在较短的时间内学习和掌握,目前已经在FPGA开发/IC设计领域占据绝对的领导地位。简单的编程案例为快速入门Verilog语言,先从简单的编程案例开始。以LED流水灯程序为例来给大家展示Veri...

2020-04-25 12:54:04 3064 1

原创 图像处理-边缘检测算法-sobel算子FPGA实现

边缘检测简介边缘检测是图像处理和计算机视觉中的基本问题,边缘检测的目的是标识数字图像中亮度变化明显的点。图像属性中的显著变化通常反映了属性的重要事件和变化。 这些包括深度上的不连续、表面方向不连续、物质属性变化和场景照明变化。 边缘检测是图像处理和计算机视觉中,尤其是特征提取中的一个研究领域。边缘检测算法,常用的有Sobel算子,Canny算子以及离散傅里叶变换等,这个章节重点介绍...

2020-04-25 12:17:06 2339

原创 图像处理-去噪算法-均值滤波FPGA的实现

均值滤波FPGA的实现方法线性滤波实现简单,十分适合用FPGA来实现。一般情况下,FPGA在前端捕获到视频数据之后首先需对图像数据做一个简单的预处理,然后根据噪声的来源,针对椒盐噪声进行中值滤波处理,针对高斯噪声进行高斯滤波处理,均值滤波在预处理中也十分常见。同时,边缘提取及梯度计算也是许多复杂处理算法的基础。再把均值滤波的数学表达式列出如下:由上述公式列出求图像均值的步骤:获...

2020-04-25 11:00:54 2571

原创 Vivado入门笔记-SOC-快速入门

简介开发板:zedbord,其实任意zynq系列,开发流程都差不多。这里通过简单的示例,一步一步操作,就会学会怎么建立SOC架构,并完成配置。这里创建的是zynq系列soc的最小系统架构,如此可以更方便的理解其体系架构,以及与传统开发的联系。1. 创建RTL工程VIvado传统RTL开发的基本流程,略2. 创建IP Integrator Block Design...

2020-04-05 21:22:21 6603

原创 图像处理中滤波算法——均值滤波—中值滤波—高斯滤波等

图像中的噪声噪声的来源:数字图像的噪声主要产生于获取、传输图像的过程中。在获取图像的过程中,摄像机组件的运行情况受各种客观因素的影响,包括图像拍摄的环境条件和摄像机的传感元器件质量在内都有可能会对图像产生噪声影响。在传输图像的过程中,传输介质所遇到的干扰也会引起图像噪声,如通过无线电网络传输的图像可能因为光或其他大气因素被加入噪声信号。图像去噪意义:图像去噪是指减少数字图像中噪声的过程,广...

2020-04-02 22:49:51 9102

原创 使用Verilog设计1553B总线协议芯片

目标:以DCC公司的1553b芯片手册为参考,设计与其功能兼容的FPGA程序。预期:使用不足百元的FPGA芯片实现功能。架构:难点:1. 对总线数据进行监控的同时,需要同时接受主机的控制,存在诸多需要协调的握手信号。2. 1553b芯片是一个较复杂的控制机制,既要对总线的协议进行解析与响应,又要对协议解析后的数据进行存储管理,并且还有BC的方式控制,以及主机的寄存器控制。...

2020-04-02 22:30:30 3272 3

DDC芯片ACE Designer's Guide.pdf

1553b芯片手册参考,内部结构,存储管理方式,包括单信息模式、双缓存模式、循环缓存模式,以及芯片接口等

2020-04-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除