Vivado 提高笔记1—SOC—快速入门(Zynq 7000系列)

Vivado与Modelsim仿真

1.添加modelsim路径:

Tool >options>

2. 安装编译仿真库

 使用modelSIm需要xilinx library被编译。

  运行1:

          report_property [current_project]

  运行2:Tcl Command

compile_simlib -help

compile_simlib -simulator modelsim  或

compile_simlib -simulator modelsim -arch all -language all

 或

  运行3:安装modelsim库到指定目录

      compile_simlib  -directory D:/modeltech64_10.1c/Xilinx_lib  -simulator modelsim

 3. Modelsim中添加xilinx安装的modelsim库目录:

在编译完成库后,生成了一个modelsim.ini文件。用记事本打开复制其安装目录信息到modelsim安装目录中modelsim自己的modelsim.ini文件中。(需要去掉modesim.ini的只读特性)重启modelsim,库自动添加到软件中。

 

  4.测试:modelsim10.1c se

 行为层:通过

综合层:时序仿真,测试通过

功能仿真,测试通过

应用层:时序仿真,测试通过

功能仿真,测试通过

使用vivado 仿真工具更方便快捷

 5. Modelsim工程中添加仿真库的命令

vopt zynq.tb_simulator_all +acc -o zz1 -L simprims_ver -L unisims_ver -L xilinxcorelib_ver glbl

仿真预编译为库名zynq.tb_simulator_all(后缀tb_simulator_all为仿真testbench文件),添加simprims_ver、unisims_ver、xilinxcorelib_ver、glbl库即可。如提示不能编译的IP核,需将IP核生成的仿真文件加入工程。

 

Design Checkpoint

设计检查点(DCP):是指磁盘上保留存储器内设计准确表示的文件,可在每个步骤后(综合后、优化后、布局后)存储。可将检查点读回到Vivado工具中,用以恢复设计状态。

文件格式:.dcp

文件的产生:

.dcp: 工程synthesis后自动产生,在.runs\synth_1目录。

opt.dcp ,placed.dcp, routed.dcp :在工程implementation后自动产生,在.runs\impl_1目录。

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值