VIVADO 2014.4 如何编写mealy状态机并测试

VIVADO 2014.4 如何编写mealy状态机并测试

1. 题干描述:

Design a sequence detector implementing a Mealy state machine using
three always blocks. The Mealy state machine has one input (ain) and
one output (yout). The output yout is 1 if and only if the total
number of 1s received is divisible by 3 (hint: 0 is inclusive,
however, reset cycle(s) do not count as 0- see in simulation waveform
time=200). Develop a testbench and verify the model through a
behavioral simulation.
即需要实现mealy状态机,该状态机有一个输入(0/1)和一个输出(0/1)。只有累计输入1的个数为3的倍数才会输出1(0个1也输出1)。

2. 理想的仿真波形图:
在这里插入图片描述
3.绘制mealy状态机
在这里插入图片描述
4.新建项目
请务必保持硬件配置(芯片选项:xc7a35tcpg236-1)正确,其他的选项按新建项目的默认选项来即可
最后一步的图:
在这里插入图片描述
5.新建约束文件
新建文件的地方(项目窗口的左侧):
在这里插入图片描述
在弹出来的窗口里选择:
在这里插入图片描述
然后在弹出来的地方选择新建一个约束文件:
在这里插入图片描述
自己取名字就行,然后把代码拷贝进去:

## Switches
set_property PACKAGE_PIN V17 [get_ports {
   ain}]					
	set_property IOSTANDARD LVCMOS33 [get_ports {
   ain}]
	set_property PACKAGE_PIN R2 [get_ports {
   clk}]					
	set_property IOSTANDARD LVCMOS33 [get_ports {
   clk}]
 

## LEDs
set_property PACKAGE_PIN U16 
  • 6
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
Vivado 2014.4是Xilinx公司推出的一款FPGA设计和开发工具。它提供了完整的硬件设计流程,包括设计输入、约束管理、综合、实时制图、布局和布线等。该版本在性能、功能和易用性方面进行了一些改进和更新。 要下载Vivado 2014.4,首先需要访问Xilinx官方网站。在网站上,你可以找到Vivado的下载页面,并注册一个Xilinx账户。一旦注册完成,你可以使用你的账户登录并访问下载页面。 在下载页面上,你可以选择下载适用于你的操作系统的Vivado 2014.4版本。选择正确的版本后,点击下载按钮开始下载安装程序。下载的文件是一个自解压执行文件。下载完成后,你可以运行这个文件来开始安装Vivado 2014.4。 安装过程中,你需要按照提示进行一些设置和配置。这些设置包括选择安装路径、添加许可证文件、选择所需的组件等等。完成这些设置后,你可以点击开始安装按钮来开始安装过程。 安装完成后,你可以通过启动菜单或命令行来访问Vivado 2014.4。启动Vivado后,你可以选择创建一个新项目或打开一个已有项目。在项目中,你可以进行设计、约束和仿真等操作,最终生成bitstream文件用于烧录到FPGA设备中。 总的来说,Vivado 2014.4是一个功能强大的FPGA设计和开发工具。如果你需要下载它,只需前往Xilinx官方网站,注册账户并按照提示下载和安装即可。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值