SystemVerilog Callback理解

11 篇文章 2 订阅

回调(callback)是一种在很多编程语言中都会使用的编程技巧。这算是一个感性的印象。

01 概念和术语

例举一个场景,假设CC是个中国合法公民,今天CC的身份证丢了,然后到派出所去补办身份证,选择了“补办身份证”这个业务。在填信息信息表的时候,需要选择身份证制作完成之后的取送方式:要么邮寄要么本人来取。

场景类比:类比到函数调用流程来,“补办身份证”这个业务是派出所对象对外开放的API。通过这个API,CC可以触发“制作身份证”这个流程。而“信息表”就是这个流程所需要的参数包括一系列的个人信息,以及流程最后新身份证的取送方式。如果选择邮寄,则身份证制作完成之后会调用“快递邮寄”这个新的动作流程;如果选择本人来取,则会调用“等待本人到来”这个流程。但最后不管是哪种方式,只有这个新动作流程成功返回结果,“制作身份证”这个流程才会结束。

回调函数概念:在上面的类比中,“制作身份证”是一个首先被执行的主函数(main function),填写信息表取送方式一栏就是向主函数注册回调函数(register a callback function),而“邮寄”和“本人来取”其实就是被注册的回调函数(callback function),主函数在结束之前会调用回调函数,这个调用的地方叫做回调函数的hook。回调函数的接口通常是预先定义好的,比如CC总不能给主函数注册一个“由民警配送到我家”的回调函数吧。

词条定义:最后再来看看在wikipedia上的词条定义: “In computer programming, a callback is any executable code that is passed as an argument to other code; that other code is expected to call back (execute) the argument at a given time.”,翻译过来是:将一段可执行的代码A作为参数传递给另一段代码B,当B在执行时可以在需要的时刻去执行A。

到这里,我们基本已经厘清了回调所涉及到的概念和术语。下面接着看看回调具体有什么用,以及在SV中应该怎么应用。

02 SV和UVM例程

SystemVerilog Callback例程:

UVM中callback的使用:

 

03 应用场景

本节主要列举几个栗子,看看回调函数在芯片验证中可以用到什么场景下。

场景1:可能大多数人使用SV一开始接触到的回调函数应该就是pre_randomize和post_randomize。这两个函数是SV内置的回调函数,在执行randomize函数的前后会自动执行。一般用在随机前变量的打印、随机约束的开关、随机约束权重的更改、随机后变量整形、随机数组排序等等,应用场景很多。这里可以参考公众号的上一篇文章《SystemVerilog高效仿真技巧》,其中有一个例子用了post_randomize来加速仿真。

场景2:回调函数的典型应用可以是在VIP(Verification IP)中。VIP的使用使得验证工程师可以访问验证其设计中所需要的接口协议和存储器等。通常在VIP中,回调函数是改变其协议行为的重要手段,当然这取决于这个VIP是是怎么实现的以及开放了多少功能,特别是在第三方提供的VIP,供应商又要对其加密又希望给用户提供修改VIP行为的便利。这里举个栗子,比如AXI VIP,通过重定义回调函数可以去改变不同transaction之间的延迟、决定AW通道和W通道是否要对齐或支持写数据超前、注入故障等等功能。

场景3:在monitor上也有callback的用武之地,比如在monitor留了收集覆盖率信息的hook,或者对monitor采集到的transaction进行不同目的的检查

场景4:除此之外,回调函数在UVM的框架中也经常会看到。除了UVM本身提供一套回调函数的注册机制(如上例程),UVM的phase机制本身也是使用回调的方式来实现的。当然在这里不会剖源码做注解啦,着实超出本文篇幅和范畴。

言而总之,回调只是一种编程技巧,有什么用完全取决于程序的目的和架构。能在众多编程语言中被广泛应用,已足见其强大的功能。

另外,附上几个相对比较清晰的查阅过的链接:

[1]:system verilog:call back机制的理解_callback_pool-CSDN博客

[2]:UVM: callback机制 uvm_callback和uvm_callbacks_uvm_do_callbacks-CSDN博客

[3]:UVM——Callback机制(作用、使用步骤实例)_uvm callback-CSDN博客

[4]:UVM基础-Callback机制_uvm callback机制_Lucky是一名ICer的博客-CSDN博客 

[5]:UVM之uvm_callback详解_uvm_do_callbacks-CSDN博客 

  • 24
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值