电脑传输数据STM32模拟I2C显示实时画面到OLED

写的不好,还望大家指正,有的地方引用了一下大佬的代码。

一、所需硬件:

  1. STM32F103C8T6

  1. USB转串口模块

  1. OLED 128*64显示屏

  1. STLINK

二、代码部分

1.stm32串口部分代码

extern uint8_t PIC1[];
uint16_t k=0;

void Serial_Init(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1,ENABLE);
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);
    
    GPIO_InitTypeDef GPIO_InitStructure;
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOA, &GPIO_InitStructure);
    
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOA, &GPIO_InitStructure);
    
    USART_InitTypeDef USART_InitStructure;
    USART_InitStructure.USART_BaudRate=460800;//波特率的数值,写完数值后USARE_Init函数内部会自动算好
                                            //9600对应的分频系数然后写道BRR寄存器
    USART_InitStructure.USART_HardwareFlowControl=USART_HardwareFlowControl_None;//硬件流控制,不需要控制
    USART_InitStructure.USART_Mode=USART_Mode_Tx|USART_Mode_Rx;//模式选择,开启输出模式和接受模式
    USART_InitStructure.USART_Parity=USART_Parity_No;//奇偶校验,无校验
    USART_InitStructure.USART_StopBits=USART_StopBits_1;//停止位,1位
    USART_InitStructure.USART_WordLength=USART_WordLength_8b;//字长,8位
    USART_Init(USART1,&USART_InitStructure);
    
    USART_ITConfig(USART1,USART_IT_RXNE,ENABLE);//开启USART1中断
    
    NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);//中断分组
    
    NVIC_InitTypeDef NVIC_InitStructure;
    NVIC_InitStructure.NVIC_IRQChannel=USART1_IRQn;//中断通道USART1
    NVIC_InitStructure.NVIC_IRQChannelCmd=ENABLE;//中断使能
    NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=1;//抢占优先级1
    NVIC_InitStructure.NVIC_IRQChannelSubPriority=1;//响应优先级1
    NVIC_Init(&NVIC_InitStructure);
    
    USART_Cmd(USART1,ENABLE);//开启USART1使能
}


 void USART1_IRQHandler(void)//USART1的中断函数
{
        PIC1[k]=USART_ReceiveData(USART1);//PIC1[]是自定的数组
        k++;
        if(k==1024)
        {
            k=0;
        }
 }

2.stm32OLED屏幕部分代码

/*引脚配置*/
#define OLED_W_SCL(x)        GPIO_WriteBit(GPIOB, GPIO_Pin_8, (BitAction)(x))
#define OLED_W_SDA(x)        GPIO_WriteBit(GPIOB, GPIO_Pin_9, (BitAction)(x))

/*引脚初始化*/
void OLED_I2C_Init(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);
    
    GPIO_InitTypeDef GPIO_InitStructure;
     GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_OD;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8;
     GPIO_Init(GPIOB, &GPIO_InitStructure);
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;
     GPIO_Init(GPIOB, &GPIO_InitStructure);
    
    OLED_W_SCL(1);
    OLED_W_SDA(1);
}

/**
  * @brief  I2C开始
  * @param  无
  * @retval 无
  */
void OLED_I2C_Start(void)
{
    OLED_W_SDA(1);
    OLED_W_SCL(1);
    OLED_W_SDA(0);
    OLED_W_SCL(0);
}

/**
  * @brief  I2C停止
  * @param  无
  * @retval 无
  */
void OLED_I2C_Stop(void)
{
    OLED_W_SDA(0);
    OLED_W_SCL(1);
    OLED_W_SDA(1);
}

/**
  * @brief  I2C发送一个字节
  * @param  Byte 要发送的一个字节
  * @retval 无
  */
void OLED_I2C_SendByte(uint8_t Byte)
{
    uint8_t i;
    for (i = 0; i < 8; i++)
    {
        OLED_W_SDA(Byte & (0x80 >> i));
        OLED_W_SCL(1);
        OLED_W_SCL(0);
    }
    OLED_W_SCL(1);    //额外的一个时钟,不处理应答信号
    OLED_W_SCL(0);
}

/**
  * @brief  OLED写命令
  * @param  Command 要写入的命令
  * @retval 无
  */
void OLED_WriteCommand(uint8_t Command)
{
    OLED_I2C_Start();
    OLED_I2C_SendByte(0x78);        //从机地址
    OLED_I2C_SendByte(0x00);        //写命令
    OLED_I2C_SendByte(Command); 
    OLED_I2C_Stop();
}

/**
  * @brief  OLED写数据
  * @param  Data 要写入的数据
  * @retval 无
  */
void OLED_WriteData(uint8_t Data)
{
    OLED_I2C_Start();
    OLED_I2C_SendByte(0x78);        //从机地址
    OLED_I2C_SendByte(0x40);        //写数据
    OLED_I2C_SendByte(Data);
    OLED_I2C_Stop();
}

/**
  * @brief  OLED设置光标位置
  * @param  Y 以左上角为原点,向下方向的坐标,范围:0~7
  * @param  X 以左上角为原点,向右方向的坐标,范围:0~127
  * @retval 无
  */
void OLED_SetCursor(uint8_t Y, uint8_t X)
{
    OLED_WriteCommand(0xB0 | Y);                    //设置Y位置
    OLED_WriteCommand(0x10 | ((X & 0xF0) >> 4));    //设置X位置高4位
    OLED_WriteCommand(0x00 | (X & 0x0F));            //设置X位置低4位
}

/**
  * @brief  OLED清屏
  * @param  无
  * @retval 无
  */
void OLED_Clear(void)
{  
    uint8_t i, j;
    for (j = 0; j < 8; j++)
    {
        OLED_SetCursor(j, 0);
        for(i = 0; i < 128; i++)
        {
            OLED_WriteData(0x00);
        }
    }
}
/**
  * @brief  OLED初始化
  * @param  无
  * @retval 无
  */
void OLED_Init(void)
{
    uint32_t i, j;
    
    for (i = 0; i < 1000; i++)            //上电延时
    {
        for (j = 0; j < 1000; j++);
    }
    
    OLED_I2C_Init();            //端口初始化
    
    OLED_WriteCommand(0xAE);    //关闭显示
    
    OLED_WriteCommand(0xD5);    //设置显示时钟分频比/振荡器频率
    OLED_WriteCommand(0x80);
    
    OLED_WriteCommand(0xA8);    //设置多路复用率
    OLED_WriteCommand(0x3F);
    
    OLED_WriteCommand(0xD3);    //设置显示偏移
    OLED_WriteCommand(0x00);
    
    OLED_WriteCommand(0x40);    //设置显示开始行
    
    OLED_WriteCommand(0xA1);    //设置左右方向,0xA1正常 0xA0左右反置
    
    OLED_WriteCommand(0xC8);    //设置上下方向,0xC8正常 0xC0上下反置

    OLED_WriteCommand(0xDA);    //设置COM引脚硬件配置
    OLED_WriteCommand(0x12);
    
    OLED_WriteCommand(0x81);    //设置对比度控制,屏幕亮度
    OLED_WriteCommand(0xCF);

    OLED_WriteCommand(0xD9);    //设置预充电周期
    OLED_WriteCommand(0xF1);

    OLED_WriteCommand(0xDB);    //设置VCOMH取消选择级别
    OLED_WriteCommand(0x30);

    OLED_WriteCommand(0xA4);    //设置整个显示打开/关闭

    OLED_WriteCommand(0xA6);    //设置正常/倒转显示

    OLED_WriteCommand(0x8D);    //设置充电泵。打开VCC电源?
    OLED_WriteCommand(0x14);

    OLED_WriteCommand(0xAF);    //开启显示
        
    OLED_Clear();                //OLED清屏
}

void OLED_DISPLAY_OFF(void)        //关闭屏幕
{
    OLED_WriteCommand(0xAE);    //关闭显示
    
    OLED_WriteCommand(0x8D);    //关闭VCC电源?
    OLED_WriteCommand(0x10);
}
void OLED_Luminace(uint8_t x)    //设置屏幕亮度,(0~255)
{
    OLED_WriteCommand(0x81);    //设置对比度控制,屏幕亮度
    OLED_WriteCommand(x);
}
void OLED_Picture(uint8_t P)//显示1张图片
{
    uint8_t i,j;
    for(i=0;i<8;i++)
    {
        OLED_WriteCommand(0xB0+i);
        OLED_WriteCommand(0x10);//起始列地址的高4位
        OLED_WriteCommand(0x00);//起始列地址的第4位
        for(j=0;j<128;j++)//每页输出128个字节,显示8页128列图片内容
        {
            OLED_WriteData(PIC1[(j+i*128)+1024*P]);
        }
    }
}

3.主程序

#include "stm32f10x.h"                  // Device header
#include "OLED.h"
#include "Serial.h"

extern uint8_t PIC1[];

int main(void)
{
    Serial_Init();
    OLED_Init();


    while (1)
    {    
        
        OLED_Picture(0);//在循环中不断调用显示一张画面
                
    
    }
    

}

4.电脑通过opencv库截取电脑当前1080p一帧画面,并对图片二值化处理,通过电脑端编写好的串口程序发送1024字节给stm32

#include <opencv2/opencv.hpp>
#include <Windows.h>
#include <iostream>

#include <tchar.h>

using namespace cv;
using namespace std;

uchar stg [1024];
uchar sdf[8];

int bit2um()
{
    char aav[1];
    uchar aax=0;
    for (int ax = 0; ax < 8; ax++)
    {
        if (sdf[ax] == 1)
        {
           
                aax += pow(2, ax);
                /*sprintf(aav,"%x")*/
        }
    }
    return aax;
}

int main()
{
    HANDLE hCom;
    DCB dcb;
    Mat img;
    Mat str(64, 128, CV_8UC1);
    
    
    hCom = CreateFile(L"COM3", GENERIC_WRITE, 0, NULL, OPEN_EXISTING, 0, NULL);
   
    if (hCom == (HANDLE)-1)
    {
        cout << "打开失败" << endl;
        return -1;
    }
    else
    {
      
        SetupComm(hCom, 1024, 1024);
        GetCommState(hCom, &dcb);
        dcb.BaudRate = 460800;
        dcb.ByteSize = 8;
        dcb.Parity = NOPARITY;
        dcb.StopBits = ONESTOPBIT;
        SetCommState(hCom, &dcb);
        PurgeComm(hCom, PURGE_TXABORT | PURGE_RXABORT | PURGE_TXCLEAR | PURGE_RXCLEAR);
     

        cout << "开始发送" << endl;
    }            
    double fps = 25;
    int width = GetSystemMetrics(SM_CXSCREEN);
    int height = GetSystemMetrics(SM_CYSCREEN);

    time_t seconds = time(0);
    int s = seconds % 60;
    int m = (seconds % 3600) / 60;
    int h = (seconds % (3600 * 24)) / 3600 + 8;

    HDC hdcScreen = GetDC(NULL);
    HDC hdcMemDC = CreateCompatibleDC(hdcScreen);
    HBITMAP hbmScreen = CreateCompatibleBitmap(hdcScreen, width, height);

    BITMAPINFO bi;
    bi.bmiHeader.biSize = sizeof(bi.bmiHeader);
    bi.bmiHeader.biWidth = width;
    bi.bmiHeader.biHeight = height;
    bi.bmiHeader.biPlanes = 1;
    bi.bmiHeader.biBitCount = 24;
    bi.bmiHeader.biCompression = BI_RGB;
    bi.bmiHeader.biSizeImage = 0;
    bi.bmiHeader.biXPelsPerMeter = 0;
    bi.bmiHeader.biYPelsPerMeter = 0;
    bi.bmiHeader.biClrUsed = 0;
    bi.bmiHeader.biClrImportant = 0;
    SelectObject(hdcMemDC, hbmScreen);

    int lineBytes = ((width * bi.bmiHeader.biBitCount + 31) / 32) * 4;
    int bmpSize = lineBytes * height;
    char* lpbitmap = new char[bmpSize];
    Mat bmpMat(height, width, CV_8UC3);
   
    while (true)
    {


     
            if (BitBlt(hdcMemDC, 0, 0, width, height, hdcScreen, 0, 0, SRCCOPY))
            {
                GetDIBits(hdcMemDC, hbmScreen, 0, height, lpbitmap, &bi, DIB_RGB_COLORS);
                for (int i = 0; i < height; i++)
                {
                    int srcIndex = (height - i - 1) * lineBytes;
                    int destIndex = i * width * 3;
                    memcpy(&bmpMat.data[destIndex], &lpbitmap[srcIndex], width * 3);
                }

                resize(bmpMat, img, Size(128, 64));
                cvtColor(img, img, COLOR_BGR2GRAY);
                threshold(img, img, 125, 255, THRESH_BINARY);
 
                for (int a = 0; a < 64; a++)
                {
                    for (int b = 0; b < 128; b++)
                    {

                        if (img.at<uchar>(a, b) == 0)
                        {
                            str.at<uchar>(a, b) = 0;
                        }
                        else
                        {
                            str.at<uchar>(a, b) = 1;
                        }
               
                    }
                }
               
                    
                    for(uchar row = 0; row < 8;row++)
                    {
                        for (uchar col = 0; col < 128; col++)
                        {
                            for(uchar ssd=0;ssd<8;ssd++)
                            {
                                sdf[ssd] = str.at<uchar>(row*8+ssd , col);
                              
                            }
                            stg[(row * 128) + col] = bit2um();
                        }
                    }


                waitKey(10);
                WriteFile(hCom, &stg, 1024, NULL, NULL);
            
             
            }    
    }

    return 0;
}

运行后播放视频试一下

  • 4
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: STM32模拟I2C驱动OLED可以通过软件模拟I2C总线来实现。使用STM32的GPIO引脚模拟I2C通信的时钟线(SCL)和数据线(SDA),通过编程控制引脚的输入输出状态来模拟I2C总线的通信。 首先,需要在STM32的开发环境中配置相关的GPIO引脚作为模拟I2C总线的SCL和SDA引脚。然后,在代码中初始化这些引脚的状态,并设置为输出模式。 在实现模拟I2C驱动之前,我们需要了解OLED的通信协议。通常,OLED使用I2C协议进行通信,包括启动信号、地址字节、数据字节等。根据OLED的数据手册,我们可以编写相应的代码来模拟这些通信步骤。 在驱动程序中,需要实现I2C的启动、发送字节、接收字节、停止等操作。这些操作可以通过控制SCL和SDA引脚的电平来实现。例如,启动信号可以通过将SCL引脚置高,然后将SDA引脚从高电平切换到低电平来发送。 然后,我们可以编写一系列函数来实现模拟I2C通信。例如,写入数据的函数可以使用引脚的输入输出状态来模拟发送数据字节,读取数据的函数可以根据引脚电平模拟接收数据字节。这些函数可以在程序中被调用以实现与OLED的通信。 最后,我们可以将模拟I2C驱动与OLED显示代码结合起来,通过模拟I2C总线来控制OLED的初始化、显示内容等操作。这样,我们就能够使用STM32来驱动模拟I2COLED显示屏了。 总之,通过在STM32模拟I2C总线的通信,我们可以实现驱动OLED的功能。这需要对STM32的GPIO引脚进行适当配置和编程,以模拟I2C通信的时序和协议。 ### 回答2: STM32是一款广泛应用于嵌入式系统开发的微控制器芯片。而模拟I2C驱动是一种基于软件实现的I2C通信协议的方式,可以用来驱动OLED显示屏。 在使用STM32模拟I2C驱动OLED时,首先需要配置GPIO引脚作为模拟I2C的SCL和SDA线。通常,SCL线连接到芯片的时钟输入引脚,SDA线连接到芯片的数据输入/输出引脚。 然后,需要实现一些软件函数来模拟I2C通信。对于起始信号的生成,可以通过将SCL和SDA引脚置为高电平,再将SDA引脚置为低电平来实现。发送数据时,将数据按照I2C协议的要求进行处理,依次将每个数据位发送出去,并等待接收端返回的ACK信号。接收数据时,根据I2C协议的要求,接收方会返回一个ACK信号,将接收到的数据保存起来。 在驱动OLED显示屏时,可以根据显示屏的数据手册,实现针对OLED的控制命令或数据的发送函数。通过模拟I2C驱动,可以将这些命令或数据发送到OLED中,实现对显示屏内容的控制。 需要注意的是,模拟I2C驱动与硬件I2C驱动相比,由于需要通过软件对时序进行精确的控制,所以在速度和准确度上可能会有所降低。因此,在实际应用中,需根据具体要求进行优化。 总之,通过STM32模拟I2C驱动OLED显示屏,可以实现对显示内容的控制和数据的发送。这种软件实现的方式,适用于一些不支持硬件I2C接口的情况,并且灵活度较高,可以满足不同应用的需求。 ### 回答3: STM32是一种微控制器系列,它具有强大的功能和广泛的应用领域。在实现模拟I2C驱动OLED时,我们可以使用STM32的GPIO和软件I2C库。 首先,我们需要配置STM32的GPIO引脚,用于连接到OLED的SCL(时钟)和SDA(数据)线。我们可以使用CubeMX或编写代码手动配置这些引脚。 接下来,我们需要实现软件I2C的功能。软件I2C是通过控制GPIO引脚的电平变化来模拟硬件I2C的数据传输。可以在STM32的库中找到适用于软件I2C的函数和宏。 在驱动OLED之前,我们需要确定OLED的通信协议和寄存器。通常,OLED使用I2C作为通信接口,并具有一组寄存器用于控制显示内容和属性。 为了驱动OLED,我们需要编写一些函数来发送和接收数据。发送数据时,我们将数据字节写入SDA引脚,并在SCL引脚上产生时钟脉冲。接收数据时,我们将SDA引脚配置为输入,并在SCL引脚上读取时钟脉冲。 最后,我们可以编写一些函数来初始化OLED并控制其显示内容。这些函数将使用软件I2C发送特定的命令和数据字节来设置OLED显示模式、显示位置和显示内容。 总结起来,实现STM32模拟I2C驱动OLED需要配置GPIO引脚、实现软件I2C功能,编写发送和接收数据的函数,并控制OLED显示内容。这样,我们就能够通过STM32来控制并显示内容在OLED上。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值