FPGA基于CORDIC算法的求平方实现

本文介绍了CORDIC算法在FPGA中的应用,通过无符号整数的平方和平方根操作展示了其实现过程。在无符号整数平方操作中,输入与输出的延迟为5个时钟周期,时钟频率为2.8ns。在平方根操作中,针对不同数据格式,需要对CORDIC的输出进行适当解释,例如右移9bit以获取正确结果。CORDIC算法能够以较低的硬件资源实现高精度计算。
摘要由CSDN通过智能技术生成


 

1.      CORDIC功能及原理

CORDIC是在没有专用乘法器(最小化门数量)情况下,一组完成特定功能的算法,包括平方、超越、Log、sin/cos/artan。原理为连续的旋转一个较小的角度,以一定精度逼近想要的角度。具体原理如下图所示:



2.      Xilinx实现CORDIC IP核及性能测试

例1:无符号整数的平方操作:

X_in[18:0],待平方的无符号整数,在nd(new data)为输入有效信号,输出x_out[9:0]及对应的使能信号rdy,其对应的波形图见下图。

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值