自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 资源 (2)
  • 收藏
  • 关注

原创 基于FPGA的简易时钟(含verilog源码)

基于FPGA的简易数字时钟(含Verilog代码)实验板板上亲测可用。

2021-06-06 18:05:16 15368 17

原创 基于FPGA的数字等精度频率计

基于FPGA的数字等精度频率计(Verilog实现)

2021-05-25 21:15:05 8492 11

原创 SOC FPGA linux系统搭建(Terasic DE10-Nano开发板)

SOC Linux系统移植

2022-11-08 22:07:46 1438

原创 Linux虚拟机设备无法连接到它的理想主机控制器

Linux虚拟机设备无法连接到它的理想主机控制器

2022-11-06 20:51:37 4906 1

原创 基于FPGA的呼吸灯的实现(vhdl实现)

实验原理对于FPGA的引脚电压只有“0”和“1”两个电平,不能通过逐渐增加电压再逐渐减小电压实现要求,但是可以通过改变引脚单位时间内高电平的输出时间来实现呼吸灯,也就是让FPGA引脚输出一系列PWM波信号并不断改变PWM波的占空比实现呼吸灯的功能。实现设计pwm 输出模块占空比改变模块实现代码top文件library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity pwm_led is por

2021-09-12 21:55:20 1592 2

原创 基于FPGA的数字示波器的触发/采集

信号触发原理触发只有满足一个预设的条件,示波器才会捕获一条波形,这个根据条件捕获波形的动作就是触发。原理示波器在没有触发的时候,会随机抓取一段时间的信号并生成图像,由于信号是连续不断的,随机抓取的位置并无规律,这些静态的图像逐个显示,就像放胶片电影一样,组合在一起就形成了动态的显示,最终在屏幕上的效果就是看到来回滚动的波形。我们设定一个条件,用一个直流电平作为参考,当信号的电压大于直流电平的一瞬间作为抓取信号的起始点。如下图所示,红色细线就是参考的直流电平,由于每次抓取图像的位置是有规律的,都

2021-08-02 20:19:18 3063 6

原创 基于FPGA+stm32的的等精度频率计

整体功能:实现正弦波(方波)的频率和周期测量,并测量方波信号占空比测量方法:1.频率测量:使用等精度测量方法:等精度测量,由于设置的门控时间是5000个待测的信号的周期,所以当输入信号频率较低,会导致测量的时间过长。2.占空比测量:这里借鉴了基于FPGA的简易频率计设计这篇文章的测量方法。通过门控时间内,对PLL倍频的200MHz时钟clk_200计数,读出待测信号连续的四个边沿的计数值,通过计算可以得到高电平的时间和待测信号的周期。然后计算可得到占空比。此外在输入频率较低的情况,上述测量得到的周期的

2021-07-27 18:43:30 2034 3

原创 基于STM32+FPGA的DDS实现

DDS基于FPGA的DDSSPI系统结构功能实现:在SPI接口下挂接上DDS模块,通过单片机向FPGA发送频率字实现任意频率正弦波的波形,并通过DAC模块输出单片机部分通过按键输入待产生的信号频率,通过公式转化为32位频率字,通过SPI发给FPGAFPGA部分接受单片机的频率字输入,通过DDS输出输入的正弦波波形实际测试如下所示:输出1MHz和500kHz正弦波输出:由下图看出波形有明显的毛刺,这是时钟和数据不同步造成的,我采用DAC的时钟由主频直接分频产生,时钟不干净(主要

2021-07-25 23:00:31 1920 2

原创 基于STM32+FPGA的SPI接口

licheng

2021-07-25 22:06:43 813

原创 基于FPGA的AD/DA实验

掌握并行DAC、ADC的接口时序DDS信号的产生 参考《基于FPGA的DDS实现》用DDS合成信号,经过DAC输出用ADC采集信号高速AD/DA转化器AD9762是无符号的DAC器件有符号补码需要先把高位取反再送给DAC*AD9200是无符号的ADC最大电压对应MAX值0电压对应0值注意ADC芯片还有一个溢出 out of range 信号ADC输入的电压如果超限,则溢出信号置位1一旦检测到ADC溢出,必须先调整其不溢出之后,再进行其他处理动作。ADDA

2021-07-19 18:06:49 3060

原创 基于FPGA的DDS实现

DDS直接数字合成技术(Direct Digital Synthesizer,DDS)诞生于 20 世纪 70 年代,该技术融合数字信号处理理论和方法,从相位的角度进行数字化处理以获得所需要的正余弦波。DDS结构框图如下所示。其基本结构包括 N 位加法器、N 位相位寄存器、波形存储器、数模转换器、低通滤波器和工作时钟;其中 N 位加法器和 N 位相位寄存器构成 DDS 的相位累加器。基本原理:在参考时钟的驱动下,DDS 模块开始工作,当每一个参考时钟来临时,相位累加器增加一个频率控制.

2021-07-16 15:39:28 2865 6

基于FPGA的数字示波器的触发/采集

搬运 亲测有效

2023-03-20

pink_noise.zip

pink_noise.zip

2022-10-05

cymometer.7z(Verilog实现)

频率计源码:实现频率测和占空比测量

2021-07-27

cymometer.zip

数字等精度数字频率计,调试可用

2021-05-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除