Systemverilog语言(6)-------OOP

Object Oriented Programming

1.topic

在这里插入图片描述
terminology :术语
oop:将数据和对数据的一些操作封装在class里
inheritance :继承
polymorphism :多态

2. introduction


oop:将数据和对数据的一些操作封装在一起
transaction:OOP也称为transaction的建模
在这里插入图片描述
OOP封装在class里面,类似草图;
Object:类的实例
handle :句柄,指向对象的指针
属性:存储数据的变量
methods :task或function中操作变量的程序代码
在这里插入图片描述
class :编写一些包含相关特点与功能的元素;
封装各种功能,例如读,写,或擦除等;
提供一个构件object的模板,如new函数;
一般用于数据结构;
object:类的实体;
handle:指针,地址
properties:数据,变量
method :task /function,操作变量
在这里插入图片描述
polymorphism :多态

3.first class

在这里插入图片描述
class 封装了一些数据和操作,数据称为class properties,操作成为被method;
class建模同module类似。
在这里插入图片描述
b:declare a handle,声明的时候是空句柄
b = new() ; BusTran object 。
new配置空间,对class产生实体,二值逻辑默认0,四值逻辑默认x。在这里插入图片描述
handle :class里面的变量,message等都是通过handle操作
1.declare class
2.declare a handle :BusTran b
3.consrtucting an object : b = new()

SV的class可自动释放内存
b2 = b1 :句柄赋值,b1是一个地址,则b2和b1指向同一个地址
b1 = new() —第2个:指向新的空间
在这里插入图片描述
1.SV里面当handle不指向该内存则该内存会自动释放,指向句柄则不能释放
2.b= null 手动释放句柄
在这里插入图片描述
通过点操作符使用object,即可操作variables,也可操作routines。

4.静态变量

在这里插入图片描述
verilog,task,function:静态的,例化的电路独占资源,不会释放
OOP:动态的,
static var :shared by all of objects of a class ,but not maike it global,静态变量放在堆上,独占内存。
instantiated :实例化
在这里插入图片描述
在这里插入图片描述
class可以嵌套
在这里插入图片描述
在这里插入图片描述

6.handle


shallow copy:句柄赋值,只拷贝句柄,不拷贝对象
deep copy:无内建函数实现,
在这里插入图片描述
B b1= new :创建一个对象B,实体名为b1
B b2=new b1:shallow copy b1给B,实体名为b2,由于b1本含a,所以b2里面的a与b1里面的a指向同一个地址
在这里插入图片描述
deep copy:不仅拷贝句柄还拷贝对象
在这里插入图片描述
在这里插入图片描述
Thing t1,t2:只给了内存的地址
t1 =new() :给内存地址数据

7.继承

在这里插入图片描述
inheritance: share code ,add properity ,methods,change
base class:基类,父类
derived class:派生类,子类
在这里插入图片描述
BadTr:包含transaction的所有变量和bad_crc
在这里插入图片描述
super:可以用于调用父类的内容

8.virtual class/method

在这里插入图片描述
接前例类似于画草图

9.多态

在这里插入图片描述
动态查询;
提供了一种方式可以用父类的句柄指向子类的句柄,通过父类的句柄操作子类的一些内容;
在这里插入图片描述
类似于module的传参;
在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值