自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 收藏
  • 关注

原创 PCIe链路训练学习 (持续更新中)

,则下一个状态是polling active。②然后向使用该链路的所有“未检测成功的lane”向对端重新发送“Receiver Detection Sequence” 如果与第一次检测结果相同,物理层将这些“不使用的lane”设置成Elecctrial Idle 状态 进入polling状态,否则进入Detect Quiet状态。该状态的主要作用是调整PCIe链路使用的数据传输速率,当一个PCIe链路两端的设备支持高于2.5GT/s的数据是传送乐意首先进入该状态,改变PCIe链路的数据传送率。

2022-10-31 17:30:12 1949

原创 PCIe 链路训练学习---------Training Sequence (TS1 TS2序列)

TS1/TS2 = 16 Symbol 1 Symbol = 8/10b编码之后TS 序列 FTS SDP等属于控制Symbol 还有数据Symbol1、TS1序列N_FTS:FTS序列的个数,不同的PCIE链路需要使用不同数目的FTS序列,才能使接收端的PLL锁定接收时钟。2、TS2序列 (标记出与TS1序列的区别)

2022-09-07 09:26:34 6135 2

原创 gvim相关

gvim基本操作

2023-02-08 09:48:52 122

原创 Verdi总结

window ----> Hardware Debug Modeview ----> Signal List

2023-02-06 15:22:13 237

原创 vimrc设置

vim设置

2023-01-13 10:52:44 234

原创 PCIe基础知识

一条Lane有四条差分线 Transmitter tx rx Receiver tx rx ;

2022-12-05 11:23:55 1008

原创 PT SDC命令持续更新中

PT 基本命令

2022-10-28 14:11:34 892

原创 AMBA总线学习----------APB

【代码】AMBA总线学习----------APB。

2022-10-08 10:31:33 150

原创 linux 基本操作(持续更新中)

t ar -zcvf xxx.tar.gz xxx 将xxx压缩成 xxx.tar.gz。rm ~/.mozilla/firefox/随机字符.default/.parentlock。tar -zxvf xxx.tar.gz 将xxx解压。rm ~/.mozilla/firefox/随机字符-default/lock。cat(concatenate)用于连接文件并打印到标准输出设备上。grep -E :使用扩展的正则表达式。grep -c :计算匹配的数量。

2022-09-27 16:57:23 686

原创 随笔-----------

在进行枚举的时候每个device都分配了相应的BDF,对于插入新的device时(比如在两个个相邻的EP之间),是否会影响到其他device的BDF。答:在分配BDF时,相邻的device中间会预留一些BDF,插入新的device直接对新的device进行枚举,只需枚举新加入的device即可。

2022-09-22 16:39:23 118

原创 PCIE Feature ----- SRIOV

PCIE SRIOV

2022-09-02 12:11:07 1838

原创 PCIE Feature ------ INTx

根据PCIE5.0协议 对于一些不支持 MSI或者MSIX机制,INTx 虚拟线路中断信号机制用于支持Legacy Endpoints 和 PCI Express/PCI(-X) Bridges。step a:采用Iatu Outbound 从EP向RC发送一个Assert_INTA和Assert_INTx的message。step b :RC侧 assert_inta 和 deassert_inta。可对收到的中断进行处理如: Error Mask 、W1C 、计数和W1C。......

2022-08-31 17:16:19 619

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除