Vivado Tcl使用 —— 自定义命令设计

本文介绍了如何在Vivado中使用Tcl脚本,包括建立工程、添加文件和创建自定义命令。通过自定义初始化脚本和命令,可以提高设计效率,例如一键添加ARM核到Block Design。此外,文章还强调了Vivado内置的Tcl帮助功能在学习和使用脚本过程中的重要性。
摘要由CSDN通过智能技术生成

前言

Tcl(全称 Tool Command Language)广泛应用与EDA工具中。而Xilinx 的新一代Vivado 开发套件集成了很多的Tcl脚本命令,或者说Vivado就是一个Tcl脚本的合集。掌握Tcl在vivado 中的相关技巧,可以在工作中节约很多重复劳动,提升效率。因此学习Tcl 是相当有必要的。Tcl作为脚本语言,在不使用Vivado GUI情况下也是可以完成整个设计,这种操作会减少了GUI的使用,提高整个软件的运行时间。但是目前大家的使用习惯还是采用GUI,也是比较直观的操作。因此本篇博文主要还是基于GUI的一些Tcl脚本操作的总结。

vivado 常用脚本

博主使用的Vivado 2017.4版本,使用时有可能会出现一些版本兼容性的问题,这里不过于深究。

1. Vivado Tcl使用方法

Vivado 使用Tcl脚本的方法有几种,菜单栏运行脚本文件,Tools -> Run tcl Script…载入已经写好的Tcl脚本就可以运行。
Tcl 脚本文件运行
第二种方式也是在Tcl Console 窗口输入进相关的Tcl文件指令便可以进行指令操作的交互。
 Tcl 命令行交互窗口

2.建立工程

利用Tcl建立工程,配置相关芯片信号、设置工程路径、建立工程名称相关工程的基本参数,一键完成新工程使用。

#
#STEP1 : 建立工程
#
	#设置器件型号
    set device_model xc7z035fbg676-2
	#设置工程创建位置
    set dev_dir {
   G:\DeskTop\ZynqCode\11_Tcl_test}
	#确保切换到了工程位置
    cd $dev_dir
    puts "The home_dir is $dev_dir now!"
	#工程名称
    
  • 4
    点赞
  • 52
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值