新建VHDL的Vivado工程

新建VHDL的Vivado工程

本文介绍基于VHDL语言的Vivado工程新建过程。
软件版本:Vivado2019.2



1,打开软件

双击Vivado图标,打开软件
在这里插入图片描述


2, 新建工程

点击Vivado窗口中的“Create Project”,

在这里插入图片描述

在弹出的窗口中,点击“Next”,
在这里插入图片描述

设置工程的名称和保存目录,然后点击“Next”,

在这里插入图片描述

不用设置,点击“Next”,

在这里插入图片描述

选择你的芯片芯片,
在这里插入图片描述

点击“Finish”,

在这里插入图片描述

3, 设置工程目标语言

点击左侧窗口中的“Setting”,

在这里插入图片描述

选择“Project Setting” -> “General” -> “Target language”中的VHDL,
在这里插入图片描述

点击“OK”,工程编译语言即设置为VHDL。
此后,再新建源文件的时候,默认的语言就是VHDL了。
在这里插入图片描述

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值