基于Pipelined ADC电路的仿真与实践,支持深度流水线设计,采用先进工艺实现,性能高达有效位9.5bit的学习资源

10bit 100MS/s 流水线Pipelined ADC电路,采用0.18um工艺,直接可以用,直接可以跑仿真,包含实际电路和各模块的测试电路,有效位9.5bit,适合学习。

YID:32688677347530443

imxxok


独家放送,别错过: https://pan.baidu.com/s/1S73QF4nGCPtjB8_-IR14uA?pwd=jdmq

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值