Vivado与modelsim联合仿真(2018.3---10.6c)

Vivado不同于Quartus自带仿真,但是个人觉得跑一些简单工程的仿真还是可以的,不过跑一些复杂工程的的仿真简直就是毫无体验感,建议使用第三方仿真软件进行联合仿真,这边主要推荐的Modelsim,下面将介绍主要的操作步骤。

首先确定下自己的Vivado版本和适配的ModelSim版本,大家不知道的可以去网上查阅,博主这边使用的版本是Vivado2018.3,与之匹配的ModelSim版本是10.6c。(有时候版本不匹配也能用,不过还是建议尽量匹配版本的好,出现仿真问题是会让你很头疼)

操作步骤

(1)打开编译库设置界面

打开vivado,点击Tools---Compile Simulition Libraries

(2)生成库函数

Simulator选择ModelSim Simulator

Language,Library,Family选择全部(All)

Compiled library location用于设置库函数的位置,一般在ModelSim的安装路径下新建一个Vivado2018.3_lib文件夹。

  • 11
    点赞
  • 68
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值