自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 资源 (7)
  • 收藏
  • 关注

转载 TensorFlow安好后尝试的第一个案例

tensorflow能干啥????什么是逆概率我们肯定知道正概率,举个例子就是,箱子里有5个黑球5个白球,那你随机拿到黑球和白球的概率都是50%,那现在我不知道箱子里有多少个黑球白球,那我通过不断的拿球应该如何确定箱子里有多少个黑球白球呢,这就是出名的逆概率其实机器学习很多时候也就是逆概率的问题,我有大量现实例子的情况下,让机器从这些例子中找到共同的特征,例如给一万张猫的图片给机器学习,...

2019-07-29 12:55:38 278

原创 深度学习软件VS17+CUDA9.2+cudnn7.1+Anacoda5.2+Tensorflow1.10

痛苦了三天,才完全搞好,难a!!!记录一下。真的想说很多教程都是垃圾!!!!!!!!!!!!!!!!!!深度学习需要安装软件内容·VS2017·CUDA9.2·cuDNN7.1·Anacoda5.2·Python3.6.6·Tensorflow1.10电脑配置:我的电脑是联想小新air14 ,显卡MX150.版本支持版本 Python ...

2019-07-29 12:51:51 563

转载 ESP8266-12F WIFI转串口模块底板设计

https://blog.csdn.net/xiaohupashu/article/details/53574859

2018-10-28 23:02:00 918

原创 ESP8266-01下载固件成果方式

ESP8266管脚分布图如下:               由图我们可以知道,ESP8266有正常工作模式与烧写模式之分,控制其模式的方法为控制模块管脚为不同的电平。          烧写模式连线:      1- GND    ---  TTL板GND     3- GPIO0  ---  TTL板GND     4- RXD     ---  TTL板TXD     5- VCC...

2018-08-31 17:34:07 3544 4

转载 ZYNQ-SD卡分区、内核设备树uboot编译,LINARO文件系统

https://blog.csdn.net/yake827/article/month/2016/07

2018-08-27 22:08:13 1124

原创 自己的ATK-ESP8266 V1.3的烧录

试了很多,这个固件一直很烦啊。咨询了原子,说ATK-8266在2017年10月前后用的芯片不一样,所以烧写选择也不一样因为我是17-10之后买的,所以选择DOUT方式,我选择的是烧一个文件的,如果时间比较长就烧写成功,不然失败还有这个如果17-10之前买的,就一定要选择QIO模式。。。...

2018-08-25 23:32:43 4905

转载 esp8266关键指南机智云

1.设备上电A、GAgent请求设备信息B、回复GAgent设备信息C、设备正常工作,需回复GAgent发出的心跳包D、GAgent网络状态发生变化通知mcu。2.配置入网配置入网:使设备连接路由器,目前主要有3种配网方式airkiss(微信)、airlink、softap。3.搜索绑定设备控制设备前必须绑定设备,WIFI普遍采用局域网发现绑定设备方式,而...

2018-08-25 16:45:17 1775 1

转载 ESP8266 AP模式热点

以COM-AP模式的TCP服务器配置为例,配置命令如下所示:这里需要说明的有两点,一是以上步骤第一次配置时需要按顺序依次配置,最后一个为检验配置是否成功;二是WIFI模块配置成功后,当WIFI模块失去供电后,再重新连接仍需要重新配置第三步和第四步才可以使用。COM-STA的基本配置方法与上述类似。不同的是,AP模式是将WIFI模块本身作为服务器或客户端使用,而STA模式则是将WIFI模...

2018-08-25 15:00:19 11592

转载 arm-xilinx-linux-gnueabi的安装

本文转自linux公社:http://www.linuxidc.com/Linux/2016-05/131761.htmxilinx-2011.09-50-arm-xilinx-linux-gnueabi.bin下载地址:                    https://pan.baidu.com/share/link?shareid=2118817891&uk=204771...

2018-08-24 10:16:00 7510

转载 Zynq-Linux移植学习笔记(zhuan)

Zynq-Linux移植学习笔记之一2016年12月20日 22:56:36阅读数:122561、相关网站zynq linux软件网站:www.wiki.xilinx.comzynq u-boot github地址:https://github.com/xilinx 2、启动过程3、u-boot配置3.1 下载u-bootUBOOT有多个版本,可以去网站...

2018-08-21 17:05:14 693

转载 STM32C8T6小板下载问题

跳线帽插在BOOT0为高电平上,跳线之前要断电,板子不能上电,CH340G也不能插到电脑上,然后插到电脑上就可以下载程序了对的,就是要让板从ISP启动,所以跳线前不能上电,然后把CH340G插到电脑给板子供电,这样处理后板子在下程序前是不运行状态,是系统默认状态,这样PA9,PA10就是工作在串口状态了,我是这样认为的 这上面就一个跳线帽,就这样插上就可以  ,下完程序要拿下来...

2018-08-15 23:18:43 5030 2

转载 ESP8266-01的使用经验------下固件

ESP8266-12F小黑板烧写机智云固件教程http://club.gizwits.com/thread-3772-1-1.html 深夜牢骚: =。=由于看了一些错误的文档,导致烧写一直chip sync error,以致折腾至深夜,在烧洗澡水的同时,仅以此贴献给那些在苦逼调模块的童鞋们。 同时也希望机智云官方完善开发生态,让更多的人更快入门。 ...

2018-08-15 22:13:21 13673 2

原创 记录VGA的更改(1920*1080)彩条显示程序

1VGA的一些参数   摘抄自:http://group.chinaaet.com/273/4100029440      VGA的接口时序如图所示,场同步信号VSYNC在每帧(即送一次全屏的图像)开始的时候产生一个固定宽度的高脉冲,行同步信号HSYNC在每行开始的时候产生一个固定宽度的高脉冲,色彩数据在某些固定的行和列交汇处有效。         如前所述,我们通常以一个基准时钟驱动VGA信号的...

2018-06-19 13:30:48 7487 1

原创 ndk编译动态库

源文件(eg在jni文件夹)包括一个.c文件,一个.mk文件。hello.c#include <stdio.h>int main(void){ printf("hello_world!\n"); return 0;}Android.mk文件LOCAL_PATH:= $(call my-dir)include $(CLEAR_VARS)LOCAL_SRC_FILES:= h...

2018-06-04 19:53:36 311

转载 NDK的安装、简单动态库的编译

各个版本ADT版本:ADT-22.6.3 ,开发工具ADT(Android Development Tool),集成了最新的ADT和NDK插件以及Eclipse,还有一个最新版本SDK。解压之后就可以用了,下载地址http://developer.android.com/tools/sdk/ndk/index.htmlNDK: android-ndk-r10, NDK插件:用于开发Android ...

2018-06-04 19:17:37 1120

原创 zedboard学习小记4

zedboard用纯PS进行串口的通信VIVADO里面用zynq内核的串口1,将其选中。如果只是使用zynq的内核的话,不用书写XDC约束文件,因为串口等都是在ARM里面的,所以可以忽略FPGA部分的管脚约束。之后产生bit文件,之后再导入到SDK中,自建一个工程,hello world的模板就好。SDK打开后,先下载program FPGA(这个比较特殊,可以不下载)之后进行运行RUN as G...

2018-05-30 15:31:25 257

原创 zedboard学习小记3

1尝试板子的第三讲,点灯实验之前也简单的按照程序尝试过,理解的不够清晰,现在再去完整的学习一遍吧,争取有这个时间!这个是单纯使用FPGA的功能,可以将这个zed单纯的当做成一个FPGA来使用,只要看好管脚约束好,就没有什么问题。正常进行编译,产生bit文件,不用lauchSDK,直接连接上zed,OPEN hardware ,直接program 下进去bit就好。原先李工给的程序是reg[31:0...

2018-05-30 14:29:06 233

原创 20180530看到的一篇文章心得

今天上午很是罪恶,好像什么都没有做,就是考虑了618要买什么,还给自己 手机修个屏幕。。。这样迟早要被社会淘汰的,深深的危机感。分享一篇文章吧四、个人价值源于你的不可替代性    再次回到文章一开始提到的小伙伴C,他确实是个很“努力”的员工,做了很多事,公司也很需要这样的人。    然而我们也得知道,我们每个人的价值源于我们能为公司创造多少价值,我们的收入也不可能高过我们能为公司赚取的财富。   ...

2018-05-30 13:45:06 478

原创 AXI_DMA调试说明

程序源码https://github.com/fpgadeveloper/microzed-axi-dma 程序说明:https://blog.csdn.net/weilxuext/article/details/50695836        http://www.fpgadeveloper.com/2014/08/using-the-axi-dma-in-vivado.html如上所述建立工程...

2018-05-29 17:01:29 2684

原创 记录:stm32的重映射

串口4和串口5复用管脚时使用了PC11、PD2.。。。。。。SD卡复用功能管脚时也使用了PC11、PD2.。。。。。。然而,只有串口1/2/3可以进行管脚的重映射,串口4和串口5不能进行重映射SD卡的管脚也不可以进行重映射因此:这个三个编码器串口读取和SD卡共存的方案,凉。参考STM32中文参考手册_V10的第120页附近以下是参考的资料:这算是真的把管脚复用和重映射看明白把下面跟大家说一下STM...

2018-05-26 11:47:15 4323

原创 关于方向的思考

1还需要借助快速量产教程里面提供的思路,非常之好。         就好好借助已有的硬件平台(可能买的平台),借助MATLAB和SIMULINK去做联合开发,先拿MATLAB去验证调制解调的算法,然后将其转换到simulink平台上去,将调制解调部分的代码放到simulink里面去利用工具生成IP核,供后续使用。然后多余的系统监视等任务,用matlab去写,然后转成c语言,放到SDK里面去做。  ...

2018-05-25 17:32:17 223

原创 20180525

1异步FIFO的代码又看了看,原理都是搞通了,就是现在不会用到别的上面去,虽然testbench可以设置不同的时钟,让两个时钟不一样,然后输出输入位也不一样,可是感觉尝试的不一定对啊。想找一个例子,看看别人怎么具体用这个异步fifo的2QPSK的调制和解调‘’找了一个QPSK的代码,然后又找到相关的testbench,将其写到一个工程里面,分别建立调制工程和解调工程,仿真来去看看,感觉一般啊,都让...

2018-05-25 17:19:08 148

转载 异步fifo的一些问题

1、异步FIFO为什么用格雷码异步FIFO通过比较读写地址进行满空判断,但是读写地址属于不同的时钟域,所以在比较之前需要先将读写地址进行同步处理,将写地址同步到读时钟域再和读地址比较进行FIFO空状态判断(同步后的写地址一定是小于或者等于当前的写地址,所以此时判断FIFO为空不一定是真空,这样更保守),将读地址同步到写时钟域再和写地址比较进行FIFO满状态判断(同步后的读地址一定是小于或者等于当前...

2018-05-14 11:41:40 5192

转载 ubuntu安装搜狗输入法笔记

https://blog.csdn.net/qq_21792169/article/details/53152700  、 https://blog.csdn.net/leijiezhang/article/details/53707181

2018-05-11 21:36:56 217

原创 Vivado裸机工程搭建教程

Vivado裸机工程搭建教程一、Cygwin生成vivado HDL工程教程 1、Cygwin软件安装配置第一步为Cygwin软件的安装,软件已经下载好(如下图),直接双击安装,安装教程可以自行百度,网上资料很多,就不再赘述。可使用http://jingyan.baidu.com/article/200957619b0c30cb0621b478.html教程来进行安装,介绍的比较详细,注意,因为C...

2018-05-11 21:23:38 2343

原创 AXI总线的一些知识

 AXI-stream总线简介-LDD本节介绍的AXI是个什么东西呢,它其实不属于Zynq,不属于Xilinx,而是属于ARM。它是ARM最新的总线接口,以前叫做AMBA,从3.0以后就称为AXI了。Zynq是以ARM作为核心的,运行时也是第一个“醒”过来,然后找可执行代码,找到后进入FSBL(第一引导阶段),接着找配置逻辑部分的bit文件,找到后就叫醒PL按照bit中的方式运行,再接着找可执行代...

2018-05-11 21:06:23 10737

原创 静下心来

在浮躁的日子,静下心来。我知道自己不是最优秀的,我也知道自己不是最差的,向前吧,少年,呵呵,虽然1800的日子有点难熬。

2018-05-11 21:03:42 199

车牌识别PCA+SVM.rar

车牌识别,利用了PCA提取主特征,然后利用SVM分类器进行分类,这是学习PCA和SVM的一个非常好的资料。 这是C++的代码

2019-08-01

SVM的两个例子(详细,有实验报告)

SVM的两个例子(详细,有实验报告),这是之前找资料的时候花了很多积分下载的,我觉得还是蛮有用的,至少比网上很多人的没有用的错的代码强的多,这是SVM的分类,有对文本的分类,还有SVM最基础的例子。

2019-07-31

qpsk_de代码(对应上面的qpsk)

从网上找到的qpsk调制解调的代码,我按照网上的代码,搭建了testbench,虽然感觉不是qpsk,不过也能学习到一些东西,这个应该是他的解码

2018-05-25

信号调制选择

从网上找到的qpsk调制解调的代码,我按照网上的代码,搭建了testbench,虽然感觉不是qpsk,不过也能学习到一些东西

2018-05-25

PYTHON教程(非常好的)

非常好的python,这个在网上可以找到教学的视频,非常适合新手学习

2018-05-24

FIFO代码以及对应的testbench

这个是vivado写的Verilog代码,主要是实现FIFO的功能,还有一些是自己的测试testbench

2018-05-24

WIN32disk工具

win32disk可以实现将光盘映像拷贝到你个人的u盘或者SD卡上面,有write和read功能

2018-05-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除