【软件工程】绘制状态转换图

【软件工程】绘制状态转换图

1. 绘制内容

办公室复印机的工作过程如下:未接到复印命令时处于闲置状态,一旦接到复印命令则进入复印状态,完成一个复印命令规定的工作后又回到闲置状态,等待下一个复印命令;如果执行复印命令时发现缺纸,则进入缺纸状态,发出警告,等待装纸,装满纸后进入闲置状态,准备接受复印命令;如果复印时发生卡纸故障,则进入卡纸状态,发出警告,等待维修人员来排除故障,故障排除后回到闲置状态。

2. 数据流图

在这里插入图片描述

  • 6
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
Vivado是一款Xilinx公司的FPGA设计软件,它提供了许多工具来帮助设计者完成FPGA的设计。在Vivado中,绘制状态转移需要用到State Diagram Editor(状态编辑器)这个工具。下面是详细的步骤: 1. 打开Vivado软件,创建一个新的工程。 2. 在工程中创建一个新的源文件,选择Verilog或VHDL语言,命名为“state_machine”(或其他任意名称)。 3. 在Vivado的左侧导航栏中,选择“Flow Navigator”(流程导航器)选项卡,然后选择“Create Block Design”(创建块设计)。 4. 在“Diagram”(表)选项卡中,单击“Add Module”(添加模块)按钮,然后选择“state_machine”模块。 5. 在“Diagram”选项卡中,单击“Add IP”(添加IP)按钮,然后选择“State Diagram Editor”(状态编辑器)。 6. 右键单击“State Diagram Editor”,选择“Open IP Example”(打开IP示例),然后选择“State Machine”(状态机)示例。 7. 在状态编辑器中,可以通过单击“Add State”(添加状态)按钮来添加状态。可以通过单击“Add Transition”(添加转换)按钮来添加转换。还可以通过单击“Add Initial State”(添加初始状态)按钮来添加初始状态。 8. 在状态编辑器中,可以使用不同的工具来绘制状态。例如,可以使用箭头工具来连接状态转换。可以使用文本工具来添加状态转换的标签。 9. 绘制完成状态后,可以单击“Generate Output Products”(生成输出产品)按钮,然后选择“State Machine HDL”(状态机HDL)选项来生成状态机的HDL代码。 以上就是在Vivado中绘制状态转移的详细步骤。希望对你有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

敲代码两年半的练习生

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值